11f0e2dc7SJiawei Lin/*************************************************************************************** 21f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Peng Cheng Laboratory 41f0e2dc7SJiawei Lin* 51f0e2dc7SJiawei Lin* XiangShan is licensed under Mulan PSL v2. 61f0e2dc7SJiawei Lin* You can use this software according to the terms and conditions of the Mulan PSL v2. 71f0e2dc7SJiawei Lin* You may obtain a copy of Mulan PSL v2 at: 81f0e2dc7SJiawei Lin* http://license.coscl.org.cn/MulanPSL2 91f0e2dc7SJiawei Lin* 101f0e2dc7SJiawei Lin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111f0e2dc7SJiawei Lin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121f0e2dc7SJiawei Lin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131f0e2dc7SJiawei Lin* 141f0e2dc7SJiawei Lin* See the Mulan PSL v2 for more details. 151f0e2dc7SJiawei Lin***************************************************************************************/ 161f0e2dc7SJiawei Lin 171f0e2dc7SJiawei Linpackage xiangshan.cache 181f0e2dc7SJiawei Lin 191f0e2dc7SJiawei Linimport chipsalliance.rocketchip.config.Parameters 201f0e2dc7SJiawei Linimport chisel3._ 211f0e2dc7SJiawei Linimport chisel3.experimental.ExtModule 221f0e2dc7SJiawei Linimport chisel3.util._ 231f0e2dc7SJiawei Linimport xiangshan._ 241f0e2dc7SJiawei Linimport utils._ 251f0e2dc7SJiawei Linimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes} 261f0e2dc7SJiawei Linimport freechips.rocketchip.tilelink._ 275668a921SJiawei Linimport freechips.rocketchip.util.{BundleFieldBase, UIntToOH1} 281f0e2dc7SJiawei Linimport device.RAMHelper 295668a921SJiawei Linimport huancun.{AliasField, AliasKey, DirtyField, PreferCacheField, PrefetchField} 30b36dd5fdSWilliam Wangimport mem.{AddPipelineReg} 315668a921SJiawei Lin 32ad3ba452Szhanglinjuanimport scala.math.max 331f0e2dc7SJiawei Lin 341f0e2dc7SJiawei Lin// DCache specific parameters 351f0e2dc7SJiawei Lincase class DCacheParameters 361f0e2dc7SJiawei Lin( 371f0e2dc7SJiawei Lin nSets: Int = 256, 381f0e2dc7SJiawei Lin nWays: Int = 8, 391f0e2dc7SJiawei Lin rowBits: Int = 128, 401f0e2dc7SJiawei Lin tagECC: Option[String] = None, 411f0e2dc7SJiawei Lin dataECC: Option[String] = None, 42300ded30SWilliam Wang replacer: Option[String] = Some("setplru"), 431f0e2dc7SJiawei Lin nMissEntries: Int = 1, 441f0e2dc7SJiawei Lin nProbeEntries: Int = 1, 451f0e2dc7SJiawei Lin nReleaseEntries: Int = 1, 461f0e2dc7SJiawei Lin nMMIOEntries: Int = 1, 471f0e2dc7SJiawei Lin nMMIOs: Int = 1, 48fddcfe1fSwakafa blockBytes: Int = 64, 49fddcfe1fSwakafa alwaysReleaseData: Boolean = true 501f0e2dc7SJiawei Lin) extends L1CacheParameters { 511f0e2dc7SJiawei Lin // if sets * blockBytes > 4KB(page size), 521f0e2dc7SJiawei Lin // cache alias will happen, 531f0e2dc7SJiawei Lin // we need to avoid this by recoding additional bits in L2 cache 541f0e2dc7SJiawei Lin val setBytes = nSets * blockBytes 551f0e2dc7SJiawei Lin val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 561f0e2dc7SJiawei Lin val reqFields: Seq[BundleFieldBase] = Seq( 571f0e2dc7SJiawei Lin PrefetchField(), 581f0e2dc7SJiawei Lin PreferCacheField() 591f0e2dc7SJiawei Lin ) ++ aliasBitsOpt.map(AliasField) 601f0e2dc7SJiawei Lin val echoFields: Seq[BundleFieldBase] = Seq(DirtyField()) 611f0e2dc7SJiawei Lin 621f0e2dc7SJiawei Lin def tagCode: Code = Code.fromString(tagECC) 631f0e2dc7SJiawei Lin 641f0e2dc7SJiawei Lin def dataCode: Code = Code.fromString(dataECC) 651f0e2dc7SJiawei Lin} 661f0e2dc7SJiawei Lin 671f0e2dc7SJiawei Lin// Physical Address 681f0e2dc7SJiawei Lin// -------------------------------------- 691f0e2dc7SJiawei Lin// | Physical Tag | PIndex | Offset | 701f0e2dc7SJiawei Lin// -------------------------------------- 711f0e2dc7SJiawei Lin// | 721f0e2dc7SJiawei Lin// DCacheTagOffset 731f0e2dc7SJiawei Lin// 741f0e2dc7SJiawei Lin// Virtual Address 751f0e2dc7SJiawei Lin// -------------------------------------- 761f0e2dc7SJiawei Lin// | Above index | Set | Bank | Offset | 771f0e2dc7SJiawei Lin// -------------------------------------- 781f0e2dc7SJiawei Lin// | | | | 79ca18a0b4SWilliam Wang// | | | 0 801f0e2dc7SJiawei Lin// | | DCacheBankOffset 811f0e2dc7SJiawei Lin// | DCacheSetOffset 821f0e2dc7SJiawei Lin// DCacheAboveIndexOffset 831f0e2dc7SJiawei Lin 841f0e2dc7SJiawei Lin// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 851f0e2dc7SJiawei Lin 861f0e2dc7SJiawei Lintrait HasDCacheParameters extends HasL1CacheParameters { 871f0e2dc7SJiawei Lin val cacheParams = dcacheParameters 881f0e2dc7SJiawei Lin val cfg = cacheParams 891f0e2dc7SJiawei Lin 901f0e2dc7SJiawei Lin def encWordBits = cacheParams.dataCode.width(wordBits) 911f0e2dc7SJiawei Lin 921f0e2dc7SJiawei Lin def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 931f0e2dc7SJiawei Lin def eccBits = encWordBits - wordBits 941f0e2dc7SJiawei Lin 95e19f7967SWilliam Wang def encTagBits = cacheParams.tagCode.width(tagBits) 96e19f7967SWilliam Wang def eccTagBits = encTagBits - tagBits 97e19f7967SWilliam Wang 981f0e2dc7SJiawei Lin def lrscCycles = LRSCCycles // ISA requires 16-insn LRSC sequences to succeed 991f0e2dc7SJiawei Lin def lrscBackoff = 3 // disallow LRSC reacquisition briefly 1001f0e2dc7SJiawei Lin def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 1011f0e2dc7SJiawei Lin 1021f0e2dc7SJiawei Lin def nSourceType = 3 1031f0e2dc7SJiawei Lin def sourceTypeWidth = log2Up(nSourceType) 1041f0e2dc7SJiawei Lin def LOAD_SOURCE = 0 1051f0e2dc7SJiawei Lin def STORE_SOURCE = 1 1061f0e2dc7SJiawei Lin def AMO_SOURCE = 2 1073f4ec46fSCODE-JTZ def SOFT_PREFETCH = 3 1081f0e2dc7SJiawei Lin 1091f0e2dc7SJiawei Lin // each source use a id to distinguish its multiple reqs 1101f0e2dc7SJiawei Lin def reqIdWidth = 64 1111f0e2dc7SJiawei Lin 112300ded30SWilliam Wang require(isPow2(cfg.nMissEntries)) // TODO 113300ded30SWilliam Wang // require(isPow2(cfg.nReleaseEntries)) 114300ded30SWilliam Wang require(cfg.nMissEntries < cfg.nReleaseEntries) 115300ded30SWilliam Wang val nEntries = cfg.nMissEntries + cfg.nReleaseEntries 116300ded30SWilliam Wang val releaseIdBase = cfg.nMissEntries 117ad3ba452Szhanglinjuan 1181f0e2dc7SJiawei Lin // banked dcache support 1191f0e2dc7SJiawei Lin val DCacheSets = cacheParams.nSets 1201f0e2dc7SJiawei Lin val DCacheWays = cacheParams.nWays 1211f0e2dc7SJiawei Lin val DCacheBanks = 8 1221f0e2dc7SJiawei Lin val DCacheSRAMRowBits = 64 // hardcoded 123ca18a0b4SWilliam Wang val DCacheWordBits = 64 // hardcoded 124ca18a0b4SWilliam Wang val DCacheWordBytes = DCacheWordBits / 8 1251f0e2dc7SJiawei Lin 126ca18a0b4SWilliam Wang val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 127ca18a0b4SWilliam Wang val DCacheSizeBytes = DCacheSizeBits / 8 128ca18a0b4SWilliam Wang val DCacheSizeWords = DCacheSizeBits / 64 // TODO 1291f0e2dc7SJiawei Lin 1301f0e2dc7SJiawei Lin val DCacheSameVPAddrLength = 12 1311f0e2dc7SJiawei Lin 1321f0e2dc7SJiawei Lin val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 133ca18a0b4SWilliam Wang val DCacheWordOffset = log2Up(DCacheWordBytes) 134ca18a0b4SWilliam Wang 135ca18a0b4SWilliam Wang val DCacheBankOffset = log2Up(DCacheSRAMRowBytes) 1361f0e2dc7SJiawei Lin val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 1371f0e2dc7SJiawei Lin val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 1381f0e2dc7SJiawei Lin val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 139ca18a0b4SWilliam Wang val DCacheLineOffset = DCacheSetOffset 1401f0e2dc7SJiawei Lin val DCacheIndexOffset = DCacheBankOffset 1411f0e2dc7SJiawei Lin 1421f0e2dc7SJiawei Lin def addr_to_dcache_bank(addr: UInt) = { 1431f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheSetOffset) 1441f0e2dc7SJiawei Lin addr(DCacheSetOffset-1, DCacheBankOffset) 1451f0e2dc7SJiawei Lin } 1461f0e2dc7SJiawei Lin 1471f0e2dc7SJiawei Lin def addr_to_dcache_set(addr: UInt) = { 1481f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheAboveIndexOffset) 1491f0e2dc7SJiawei Lin addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 1501f0e2dc7SJiawei Lin } 1511f0e2dc7SJiawei Lin 1521f0e2dc7SJiawei Lin def get_data_of_bank(bank: Int, data: UInt) = { 1531f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 1541f0e2dc7SJiawei Lin data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 1551f0e2dc7SJiawei Lin } 1561f0e2dc7SJiawei Lin 1571f0e2dc7SJiawei Lin def get_mask_of_bank(bank: Int, data: UInt) = { 1581f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 1591f0e2dc7SJiawei Lin data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 1601f0e2dc7SJiawei Lin } 1611f0e2dc7SJiawei Lin 162578c21a4Szhanglinjuan def arbiter[T <: Bundle]( 163578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 164578c21a4Szhanglinjuan out: DecoupledIO[T], 165578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 166578c21a4Szhanglinjuan val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 167578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 168578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 169578c21a4Szhanglinjuan a <> req 170578c21a4Szhanglinjuan } 171578c21a4Szhanglinjuan out <> arb.io.out 172578c21a4Szhanglinjuan } 173578c21a4Szhanglinjuan 174b36dd5fdSWilliam Wang def arbiter_with_pipereg[T <: Bundle]( 175b36dd5fdSWilliam Wang in: Seq[DecoupledIO[T]], 176b36dd5fdSWilliam Wang out: DecoupledIO[T], 177b36dd5fdSWilliam Wang name: Option[String] = None): Unit = { 178b36dd5fdSWilliam Wang val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 179b36dd5fdSWilliam Wang if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 180b36dd5fdSWilliam Wang for ((a, req) <- arb.io.in.zip(in)) { 181b36dd5fdSWilliam Wang a <> req 182b36dd5fdSWilliam Wang } 183b36dd5fdSWilliam Wang AddPipelineReg(arb.io.out, out, false.B) 184b36dd5fdSWilliam Wang } 185b36dd5fdSWilliam Wang 186578c21a4Szhanglinjuan def rrArbiter[T <: Bundle]( 187578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 188578c21a4Szhanglinjuan out: DecoupledIO[T], 189578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 190578c21a4Szhanglinjuan val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size)) 191578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 192578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 193578c21a4Szhanglinjuan a <> req 194578c21a4Szhanglinjuan } 195578c21a4Szhanglinjuan out <> arb.io.out 196578c21a4Szhanglinjuan } 197578c21a4Szhanglinjuan 198ad3ba452Szhanglinjuan val numReplaceRespPorts = 2 199ad3ba452Szhanglinjuan 2001f0e2dc7SJiawei Lin require(isPow2(nSets), s"nSets($nSets) must be pow2") 2011f0e2dc7SJiawei Lin require(isPow2(nWays), s"nWays($nWays) must be pow2") 2021f0e2dc7SJiawei Lin require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 2031f0e2dc7SJiawei Lin require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 2041f0e2dc7SJiawei Lin} 2051f0e2dc7SJiawei Lin 2061f0e2dc7SJiawei Linabstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 2071f0e2dc7SJiawei Lin with HasDCacheParameters 2081f0e2dc7SJiawei Lin 2091f0e2dc7SJiawei Linabstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 2101f0e2dc7SJiawei Lin with HasDCacheParameters 2111f0e2dc7SJiawei Lin 2121f0e2dc7SJiawei Linclass ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 2131f0e2dc7SJiawei Lin val set = UInt(log2Up(nSets).W) 2141f0e2dc7SJiawei Lin val way = UInt(log2Up(nWays).W) 2151f0e2dc7SJiawei Lin} 2161f0e2dc7SJiawei Lin 217ad3ba452Szhanglinjuanclass ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle { 218ad3ba452Szhanglinjuan val set = ValidIO(UInt(log2Up(nSets).W)) 219ad3ba452Szhanglinjuan val way = Input(UInt(log2Up(nWays).W)) 220ad3ba452Szhanglinjuan} 221ad3ba452Szhanglinjuan 2221f0e2dc7SJiawei Lin// memory request in word granularity(load, mmio, lr/sc, atomics) 2231f0e2dc7SJiawei Linclass DCacheWordReq(implicit p: Parameters) extends DCacheBundle 2241f0e2dc7SJiawei Lin{ 2251f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 2261f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 2271f0e2dc7SJiawei Lin val data = UInt(DataBits.W) 2281f0e2dc7SJiawei Lin val mask = UInt((DataBits/8).W) 2291f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 2303f4ec46fSCODE-JTZ val instrtype = UInt(sourceTypeWidth.W) 2311f0e2dc7SJiawei Lin def dump() = { 2321f0e2dc7SJiawei Lin XSDebug("DCacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 2331f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 2341f0e2dc7SJiawei Lin } 2351f0e2dc7SJiawei Lin} 2361f0e2dc7SJiawei Lin 2371f0e2dc7SJiawei Lin// memory request in word granularity(store) 2381f0e2dc7SJiawei Linclass DCacheLineReq(implicit p: Parameters) extends DCacheBundle 2391f0e2dc7SJiawei Lin{ 2401f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 2411f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 2421f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 2431f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 2441f0e2dc7SJiawei Lin val mask = UInt(cfg.blockBytes.W) 2451f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 2461f0e2dc7SJiawei Lin def dump() = { 2471f0e2dc7SJiawei Lin XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 2481f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 2491f0e2dc7SJiawei Lin } 250ad3ba452Szhanglinjuan def idx: UInt = get_idx(vaddr) 2511f0e2dc7SJiawei Lin} 2521f0e2dc7SJiawei Lin 2531f0e2dc7SJiawei Linclass DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 2541f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 255ca18a0b4SWilliam Wang val wline = Bool() 2561f0e2dc7SJiawei Lin} 2571f0e2dc7SJiawei Lin 2581f0e2dc7SJiawei Linclass DCacheWordResp(implicit p: Parameters) extends DCacheBundle 2591f0e2dc7SJiawei Lin{ 2601f0e2dc7SJiawei Lin val data = UInt(DataBits.W) 261026615fcSWilliam Wang val id = UInt(reqIdWidth.W) 262026615fcSWilliam Wang 2631f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 2641f0e2dc7SJiawei Lin val miss = Bool() 265026615fcSWilliam Wang // cache miss, and failed to enter the missqueue, replay from RS is needed 2661f0e2dc7SJiawei Lin val replay = Bool() 267026615fcSWilliam Wang // data has been corrupted 268026615fcSWilliam Wang val error = Bool() 2691f0e2dc7SJiawei Lin def dump() = { 2701f0e2dc7SJiawei Lin XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 2711f0e2dc7SJiawei Lin data, id, miss, replay) 2721f0e2dc7SJiawei Lin } 2731f0e2dc7SJiawei Lin} 2741f0e2dc7SJiawei Lin 2751f0e2dc7SJiawei Linclass DCacheLineResp(implicit p: Parameters) extends DCacheBundle 2761f0e2dc7SJiawei Lin{ 2771f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 2781f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 2791f0e2dc7SJiawei Lin val miss = Bool() 2801f0e2dc7SJiawei Lin // cache req nacked, replay it later 2811f0e2dc7SJiawei Lin val replay = Bool() 2821f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 2831f0e2dc7SJiawei Lin def dump() = { 2841f0e2dc7SJiawei Lin XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 2851f0e2dc7SJiawei Lin data, id, miss, replay) 2861f0e2dc7SJiawei Lin } 2871f0e2dc7SJiawei Lin} 2881f0e2dc7SJiawei Lin 2891f0e2dc7SJiawei Linclass Refill(implicit p: Parameters) extends DCacheBundle 2901f0e2dc7SJiawei Lin{ 2911f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 2921f0e2dc7SJiawei Lin val data = UInt(l1BusDataWidth.W) 293026615fcSWilliam Wang val error = Bool() // refilled data has been corrupted 2941f0e2dc7SJiawei Lin // for debug usage 2951f0e2dc7SJiawei Lin val data_raw = UInt((cfg.blockBytes * 8).W) 2961f0e2dc7SJiawei Lin val hasdata = Bool() 2971f0e2dc7SJiawei Lin val refill_done = Bool() 2981f0e2dc7SJiawei Lin def dump() = { 2991f0e2dc7SJiawei Lin XSDebug("Refill: addr: %x data: %x\n", addr, data) 3001f0e2dc7SJiawei Lin } 3011f0e2dc7SJiawei Lin} 3021f0e2dc7SJiawei Lin 30367682d05SWilliam Wangclass Release(implicit p: Parameters) extends DCacheBundle 30467682d05SWilliam Wang{ 30567682d05SWilliam Wang val paddr = UInt(PAddrBits.W) 30667682d05SWilliam Wang def dump() = { 30767682d05SWilliam Wang XSDebug("Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset)) 30867682d05SWilliam Wang } 30967682d05SWilliam Wang} 31067682d05SWilliam Wang 3111f0e2dc7SJiawei Linclass DCacheWordIO(implicit p: Parameters) extends DCacheBundle 3121f0e2dc7SJiawei Lin{ 3131f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReq) 3141f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheWordResp)) 3151f0e2dc7SJiawei Lin} 3161f0e2dc7SJiawei Lin 3171f0e2dc7SJiawei Linclass DCacheWordIOWithVaddr(implicit p: Parameters) extends DCacheBundle 3181f0e2dc7SJiawei Lin{ 3191f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReqWithVaddr) 3201f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheWordResp)) 3211f0e2dc7SJiawei Lin} 3221f0e2dc7SJiawei Lin 3231f0e2dc7SJiawei Lin// used by load unit 3241f0e2dc7SJiawei Linclass DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 3251f0e2dc7SJiawei Lin{ 3261f0e2dc7SJiawei Lin // kill previous cycle's req 3271f0e2dc7SJiawei Lin val s1_kill = Output(Bool()) 328b6982e83SLemover val s2_kill = Output(Bool()) 3291f0e2dc7SJiawei Lin // cycle 0: virtual address: req.addr 3301f0e2dc7SJiawei Lin // cycle 1: physical address: s1_paddr 3311f0e2dc7SJiawei Lin val s1_paddr = Output(UInt(PAddrBits.W)) 3321f0e2dc7SJiawei Lin val s1_hit_way = Input(UInt(nWays.W)) 3331f0e2dc7SJiawei Lin val s1_disable_fast_wakeup = Input(Bool()) 334d87b76aaSWilliam Wang val s1_bank_conflict = Input(Bool()) 3351f0e2dc7SJiawei Lin} 3361f0e2dc7SJiawei Lin 3371f0e2dc7SJiawei Linclass DCacheLineIO(implicit p: Parameters) extends DCacheBundle 3381f0e2dc7SJiawei Lin{ 3391f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheLineReq) 3401f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheLineResp)) 3411f0e2dc7SJiawei Lin} 3421f0e2dc7SJiawei Lin 343ad3ba452Szhanglinjuanclass DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle { 344ad3ba452Szhanglinjuan // sbuffer will directly send request to dcache main pipe 345ad3ba452Szhanglinjuan val req = Flipped(Decoupled(new DCacheLineReq)) 346ad3ba452Szhanglinjuan 347ad3ba452Szhanglinjuan val main_pipe_hit_resp = ValidIO(new DCacheLineResp) 348ad3ba452Szhanglinjuan val refill_hit_resp = ValidIO(new DCacheLineResp) 349ad3ba452Szhanglinjuan 350ad3ba452Szhanglinjuan val replay_resp = ValidIO(new DCacheLineResp) 351ad3ba452Szhanglinjuan 352ad3ba452Szhanglinjuan def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp) 353ad3ba452Szhanglinjuan} 354ad3ba452Szhanglinjuan 3551f0e2dc7SJiawei Linclass DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 3561f0e2dc7SJiawei Lin val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 3571f0e2dc7SJiawei Lin val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 358ad3ba452Szhanglinjuan val store = new DCacheToSbufferIO // for sbuffer 3591f0e2dc7SJiawei Lin val atomics = Flipped(new DCacheWordIOWithVaddr) // atomics reqs 36067682d05SWilliam Wang val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check 3611f0e2dc7SJiawei Lin} 3621f0e2dc7SJiawei Lin 3631f0e2dc7SJiawei Linclass DCacheIO(implicit p: Parameters) extends DCacheBundle { 3645668a921SJiawei Lin val hartId = Input(UInt(8.W)) 3651f0e2dc7SJiawei Lin val lsu = new DCacheToLsuIO 366e19f7967SWilliam Wang val csr = new L1CacheToCsrIO 3671f0e2dc7SJiawei Lin val error = new L1CacheErrorInfo 3681f0e2dc7SJiawei Lin val mshrFull = Output(Bool()) 3691f0e2dc7SJiawei Lin} 3701f0e2dc7SJiawei Lin 3711f0e2dc7SJiawei Lin 3721f0e2dc7SJiawei Linclass DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 3731f0e2dc7SJiawei Lin 3741f0e2dc7SJiawei Lin val clientParameters = TLMasterPortParameters.v1( 3751f0e2dc7SJiawei Lin Seq(TLMasterParameters.v1( 3761f0e2dc7SJiawei Lin name = "dcache", 377ad3ba452Szhanglinjuan sourceId = IdRange(0, nEntries + 1), 3781f0e2dc7SJiawei Lin supportsProbe = TransferSizes(cfg.blockBytes) 3791f0e2dc7SJiawei Lin )), 3801f0e2dc7SJiawei Lin requestFields = cacheParams.reqFields, 3811f0e2dc7SJiawei Lin echoFields = cacheParams.echoFields 3821f0e2dc7SJiawei Lin ) 3831f0e2dc7SJiawei Lin 3841f0e2dc7SJiawei Lin val clientNode = TLClientNode(Seq(clientParameters)) 3851f0e2dc7SJiawei Lin 3861f0e2dc7SJiawei Lin lazy val module = new DCacheImp(this) 3871f0e2dc7SJiawei Lin} 3881f0e2dc7SJiawei Lin 3891f0e2dc7SJiawei Lin 3901ca0e4f3SYinan Xuclass DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents { 3911f0e2dc7SJiawei Lin 3921f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 3931f0e2dc7SJiawei Lin 3941f0e2dc7SJiawei Lin val (bus, edge) = outer.clientNode.out.head 3951f0e2dc7SJiawei Lin require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 3961f0e2dc7SJiawei Lin 3971f0e2dc7SJiawei Lin println("DCache:") 3981f0e2dc7SJiawei Lin println(" DCacheSets: " + DCacheSets) 3991f0e2dc7SJiawei Lin println(" DCacheWays: " + DCacheWays) 4001f0e2dc7SJiawei Lin println(" DCacheBanks: " + DCacheBanks) 4011f0e2dc7SJiawei Lin println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 4021f0e2dc7SJiawei Lin println(" DCacheWordOffset: " + DCacheWordOffset) 4031f0e2dc7SJiawei Lin println(" DCacheBankOffset: " + DCacheBankOffset) 4041f0e2dc7SJiawei Lin println(" DCacheSetOffset: " + DCacheSetOffset) 4051f0e2dc7SJiawei Lin println(" DCacheTagOffset: " + DCacheTagOffset) 4061f0e2dc7SJiawei Lin println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 4071f0e2dc7SJiawei Lin 4081f0e2dc7SJiawei Lin //---------------------------------------- 4091f0e2dc7SJiawei Lin // core data structures 4101f0e2dc7SJiawei Lin val bankedDataArray = Module(new BankedDataArray) 411578c21a4Szhanglinjuan val metaArray = Module(new AsynchronousMetaArray(readPorts = 3, writePorts = 2)) 412026615fcSWilliam Wang val errorArray = Module(new ErrorArray(readPorts = 3, writePorts = 2)) // TODO: add it to meta array 413ad3ba452Szhanglinjuan val tagArray = Module(new DuplicatedTagArray(readPorts = LoadPipelineWidth + 1)) 4141f0e2dc7SJiawei Lin bankedDataArray.dump() 4151f0e2dc7SJiawei Lin 4161f0e2dc7SJiawei Lin //---------------------------------------- 4171f0e2dc7SJiawei Lin // core modules 4181f0e2dc7SJiawei Lin val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 4191f0e2dc7SJiawei Lin val atomicsReplayUnit = Module(new AtomicsReplayEntry) 4201f0e2dc7SJiawei Lin val mainPipe = Module(new MainPipe) 421ad3ba452Szhanglinjuan val refillPipe = Module(new RefillPipe) 4221f0e2dc7SJiawei Lin val missQueue = Module(new MissQueue(edge)) 4231f0e2dc7SJiawei Lin val probeQueue = Module(new ProbeQueue(edge)) 4241f0e2dc7SJiawei Lin val wb = Module(new WritebackQueue(edge)) 4251f0e2dc7SJiawei Lin 4265668a921SJiawei Lin missQueue.io.hartId := io.hartId 4275668a921SJiawei Lin 428026615fcSWilliam Wang val errors = bankedDataArray.io.errors ++ // data ecc error 429026615fcSWilliam Wang ldu.map(_.io.tag_error) ++ // load tag ecc error 430026615fcSWilliam Wang Seq(mainPipe.io.error) // store / misc tag ecc error 431dd95524eSzhanglinjuan io.error <> RegNext(Mux1H(errors.map(e => e.ecc_error.valid -> e))) 432dd95524eSzhanglinjuan 4331f0e2dc7SJiawei Lin //---------------------------------------- 4341f0e2dc7SJiawei Lin // meta array 435ad3ba452Szhanglinjuan val meta_read_ports = ldu.map(_.io.meta_read) ++ 436026615fcSWilliam Wang Seq(mainPipe.io.meta_read) 437ad3ba452Szhanglinjuan val meta_resp_ports = ldu.map(_.io.meta_resp) ++ 438026615fcSWilliam Wang Seq(mainPipe.io.meta_resp) 439ad3ba452Szhanglinjuan val meta_write_ports = Seq( 440ad3ba452Szhanglinjuan mainPipe.io.meta_write, 441026615fcSWilliam Wang refillPipe.io.meta_write 442ad3ba452Szhanglinjuan ) 443ad3ba452Szhanglinjuan meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p } 444ad3ba452Szhanglinjuan meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r } 445ad3ba452Szhanglinjuan meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p } 4461f0e2dc7SJiawei Lin 447026615fcSWilliam Wang val error_flag_resp_ports = ldu.map(_.io.error_flag_resp) ++ 448026615fcSWilliam Wang Seq(mainPipe.io.error_flag_resp) 449026615fcSWilliam Wang val error_flag_write_ports = Seq( 450026615fcSWilliam Wang mainPipe.io.error_flag_write, 451026615fcSWilliam Wang refillPipe.io.error_flag_write 452026615fcSWilliam Wang ) 453026615fcSWilliam Wang meta_read_ports.zip(errorArray.io.read).foreach { case (p, r) => r <> p } 454026615fcSWilliam Wang error_flag_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => p := r } 455026615fcSWilliam Wang error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p } 456026615fcSWilliam Wang 457ad3ba452Szhanglinjuan //---------------------------------------- 458ad3ba452Szhanglinjuan // tag array 459ad3ba452Szhanglinjuan require(tagArray.io.read.size == (ldu.size + 1)) 460ad3ba452Szhanglinjuan ldu.zipWithIndex.foreach { 461ad3ba452Szhanglinjuan case (ld, i) => 462ad3ba452Szhanglinjuan tagArray.io.read(i) <> ld.io.tag_read 463ad3ba452Szhanglinjuan ld.io.tag_resp := tagArray.io.resp(i) 4641f0e2dc7SJiawei Lin } 465ad3ba452Szhanglinjuan tagArray.io.read.last <> mainPipe.io.tag_read 466ad3ba452Szhanglinjuan mainPipe.io.tag_resp := tagArray.io.resp.last 467ad3ba452Szhanglinjuan 468ad3ba452Szhanglinjuan val tag_write_arb = Module(new Arbiter(new TagWriteReq, 2)) 469ad3ba452Szhanglinjuan tag_write_arb.io.in(0) <> refillPipe.io.tag_write 470ad3ba452Szhanglinjuan tag_write_arb.io.in(1) <> mainPipe.io.tag_write 471ad3ba452Szhanglinjuan tagArray.io.write <> tag_write_arb.io.out 4721f0e2dc7SJiawei Lin 4731f0e2dc7SJiawei Lin //---------------------------------------- 4741f0e2dc7SJiawei Lin // data array 4751f0e2dc7SJiawei Lin 476ad3ba452Szhanglinjuan val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 2)) 477ad3ba452Szhanglinjuan dataWriteArb.io.in(0) <> refillPipe.io.data_write 478ad3ba452Szhanglinjuan dataWriteArb.io.in(1) <> mainPipe.io.data_write 479ad3ba452Szhanglinjuan 480ad3ba452Szhanglinjuan bankedDataArray.io.write <> dataWriteArb.io.out 4811f0e2dc7SJiawei Lin bankedDataArray.io.read(0) <> ldu(0).io.banked_data_read 4821f0e2dc7SJiawei Lin bankedDataArray.io.read(1) <> ldu(1).io.banked_data_read 483578c21a4Szhanglinjuan bankedDataArray.io.readline <> mainPipe.io.data_read 4841f0e2dc7SJiawei Lin 4851f0e2dc7SJiawei Lin ldu(0).io.banked_data_resp := bankedDataArray.io.resp 4861f0e2dc7SJiawei Lin ldu(1).io.banked_data_resp := bankedDataArray.io.resp 487ad3ba452Szhanglinjuan mainPipe.io.data_resp := bankedDataArray.io.resp 4881f0e2dc7SJiawei Lin 4891f0e2dc7SJiawei Lin ldu(0).io.bank_conflict_fast := bankedDataArray.io.bank_conflict_fast(0) 4901f0e2dc7SJiawei Lin ldu(1).io.bank_conflict_fast := bankedDataArray.io.bank_conflict_fast(1) 4911f0e2dc7SJiawei Lin ldu(0).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(0) 4921f0e2dc7SJiawei Lin ldu(1).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(1) 4931f0e2dc7SJiawei Lin 4941f0e2dc7SJiawei Lin //---------------------------------------- 4951f0e2dc7SJiawei Lin // load pipe 4961f0e2dc7SJiawei Lin // the s1 kill signal 4971f0e2dc7SJiawei Lin // only lsu uses this, replay never kills 4981f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { 4991f0e2dc7SJiawei Lin ldu(w).io.lsu <> io.lsu.load(w) 5001f0e2dc7SJiawei Lin 5011f0e2dc7SJiawei Lin // replay and nack not needed anymore 5021f0e2dc7SJiawei Lin // TODO: remove replay and nack 5031f0e2dc7SJiawei Lin ldu(w).io.nack := false.B 5041f0e2dc7SJiawei Lin 5051f0e2dc7SJiawei Lin ldu(w).io.disable_ld_fast_wakeup := 5061f0e2dc7SJiawei Lin bankedDataArray.io.bank_conflict_fast(w) // load pipe fast wake up should be disabled when bank conflict 5071f0e2dc7SJiawei Lin } 5081f0e2dc7SJiawei Lin 5091f0e2dc7SJiawei Lin //---------------------------------------- 5101f0e2dc7SJiawei Lin // atomics 5111f0e2dc7SJiawei Lin // atomics not finished yet 5121f0e2dc7SJiawei Lin io.lsu.atomics <> atomicsReplayUnit.io.lsu 513a98b054bSWilliam Wang atomicsReplayUnit.io.pipe_resp := RegNext(mainPipe.io.atomic_resp) 5141f0e2dc7SJiawei Lin 5151f0e2dc7SJiawei Lin //---------------------------------------- 5161f0e2dc7SJiawei Lin // miss queue 5171f0e2dc7SJiawei Lin val MissReqPortCount = LoadPipelineWidth + 1 5181f0e2dc7SJiawei Lin val MainPipeMissReqPort = 0 5191f0e2dc7SJiawei Lin 5201f0e2dc7SJiawei Lin // Request 521300ded30SWilliam Wang val missReqArb = Module(new Arbiter(new MissReq, MissReqPortCount)) 5221f0e2dc7SJiawei Lin 523a98b054bSWilliam Wang missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 5241f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req } 5251f0e2dc7SJiawei Lin 5261f0e2dc7SJiawei Lin wb.io.miss_req.valid := missReqArb.io.out.valid 5271f0e2dc7SJiawei Lin wb.io.miss_req.bits := missReqArb.io.out.bits.addr 5281f0e2dc7SJiawei Lin 529a98b054bSWilliam Wang // block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req) 530a98b054bSWilliam Wang missReqArb.io.out <> missQueue.io.req 531a98b054bSWilliam Wang when(wb.io.block_miss_req) { 532a98b054bSWilliam Wang missQueue.io.req.bits.cancel := true.B 533a98b054bSWilliam Wang missReqArb.io.out.ready := false.B 534a98b054bSWilliam Wang } 5351f0e2dc7SJiawei Lin 5361f0e2dc7SJiawei Lin // refill to load queue 537ad3ba452Szhanglinjuan io.lsu.lsq <> missQueue.io.refill_to_ldq 5381f0e2dc7SJiawei Lin 5391f0e2dc7SJiawei Lin // tilelink stuff 5401f0e2dc7SJiawei Lin bus.a <> missQueue.io.mem_acquire 5411f0e2dc7SJiawei Lin bus.e <> missQueue.io.mem_finish 542ad3ba452Szhanglinjuan missQueue.io.probe_addr := bus.b.bits.address 543ad3ba452Szhanglinjuan 544a98b054bSWilliam Wang missQueue.io.main_pipe_resp := RegNext(mainPipe.io.atomic_resp) 5451f0e2dc7SJiawei Lin 5461f0e2dc7SJiawei Lin //---------------------------------------- 5471f0e2dc7SJiawei Lin // probe 5481f0e2dc7SJiawei Lin // probeQueue.io.mem_probe <> bus.b 5491f0e2dc7SJiawei Lin block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 550ad3ba452Szhanglinjuan probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 551300ded30SWilliam Wang probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set 5521f0e2dc7SJiawei Lin 5531f0e2dc7SJiawei Lin //---------------------------------------- 5541f0e2dc7SJiawei Lin // mainPipe 555ad3ba452Szhanglinjuan // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe, 556ad3ba452Szhanglinjuan // block the req in main pipe 557b36dd5fdSWilliam Wang block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, refillPipe.io.req.valid) 558b36dd5fdSWilliam Wang block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refillPipe.io.req.valid) 5591f0e2dc7SJiawei Lin 560a98b054bSWilliam Wang io.lsu.store.replay_resp := RegNext(mainPipe.io.store_replay_resp) 561ad3ba452Szhanglinjuan io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp 5621f0e2dc7SJiawei Lin 56369790076Szhanglinjuan arbiter_with_pipereg( 56469790076Szhanglinjuan in = Seq(missQueue.io.main_pipe_req, atomicsReplayUnit.io.pipe_req), 56569790076Szhanglinjuan out = mainPipe.io.atomic_req, 56669790076Szhanglinjuan name = Some("main_pipe_atomic_req") 56769790076Szhanglinjuan ) 5681f0e2dc7SJiawei Lin 569a98b054bSWilliam Wang mainPipe.io.invalid_resv_set := RegNext(wb.io.req.fire && wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits) 5701f0e2dc7SJiawei Lin 571ad3ba452Szhanglinjuan //---------------------------------------- 572b36dd5fdSWilliam Wang // replace (main pipe) 573ad3ba452Szhanglinjuan val mpStatus = mainPipe.io.status 574578c21a4Szhanglinjuan mainPipe.io.replace_req <> missQueue.io.replace_pipe_req 575578c21a4Szhanglinjuan missQueue.io.replace_pipe_resp := mainPipe.io.replace_resp 5761f0e2dc7SJiawei Lin 577ad3ba452Szhanglinjuan //---------------------------------------- 578ad3ba452Szhanglinjuan // refill pipe 57963540aa5Szhanglinjuan val refillShouldBeBlocked = (mpStatus.s1.valid && mpStatus.s1.bits.set === missQueue.io.refill_pipe_req.bits.idx) || 58063540aa5Szhanglinjuan Cat(Seq(mpStatus.s2, mpStatus.s3).map(s => 581ad3ba452Szhanglinjuan s.valid && 582ad3ba452Szhanglinjuan s.bits.set === missQueue.io.refill_pipe_req.bits.idx && 583ad3ba452Szhanglinjuan s.bits.way_en === missQueue.io.refill_pipe_req.bits.way_en 584ad3ba452Szhanglinjuan )).orR 585ad3ba452Szhanglinjuan block_decoupled(missQueue.io.refill_pipe_req, refillPipe.io.req, refillShouldBeBlocked) 58654e42658SWilliam Wang missQueue.io.refill_pipe_resp := refillPipe.io.resp 587a98b054bSWilliam Wang io.lsu.store.refill_hit_resp := RegNext(refillPipe.io.store_resp) 5881f0e2dc7SJiawei Lin 5891f0e2dc7SJiawei Lin //---------------------------------------- 5901f0e2dc7SJiawei Lin // wb 5911f0e2dc7SJiawei Lin // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 592026615fcSWilliam Wang 593578c21a4Szhanglinjuan wb.io.req <> mainPipe.io.wb 5941f0e2dc7SJiawei Lin bus.c <> wb.io.mem_release 595ad3ba452Szhanglinjuan wb.io.release_wakeup := refillPipe.io.release_wakeup 596ad3ba452Szhanglinjuan wb.io.release_update := mainPipe.io.release_update 597a98b054bSWilliam Wang io.lsu.release.valid := RegNext(bus.c.fire()) 598a98b054bSWilliam Wang io.lsu.release.bits.paddr := RegNext(bus.c.bits.address) 5991f0e2dc7SJiawei Lin 6001f0e2dc7SJiawei Lin // connect bus d 6011f0e2dc7SJiawei Lin missQueue.io.mem_grant.valid := false.B 6021f0e2dc7SJiawei Lin missQueue.io.mem_grant.bits := DontCare 6031f0e2dc7SJiawei Lin 6041f0e2dc7SJiawei Lin wb.io.mem_grant.valid := false.B 6051f0e2dc7SJiawei Lin wb.io.mem_grant.bits := DontCare 6061f0e2dc7SJiawei Lin 6071f0e2dc7SJiawei Lin // in L1DCache, we ony expect Grant[Data] and ReleaseAck 6081f0e2dc7SJiawei Lin bus.d.ready := false.B 6091f0e2dc7SJiawei Lin when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) { 6101f0e2dc7SJiawei Lin missQueue.io.mem_grant <> bus.d 6111f0e2dc7SJiawei Lin } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 6121f0e2dc7SJiawei Lin wb.io.mem_grant <> bus.d 6131f0e2dc7SJiawei Lin } .otherwise { 6141f0e2dc7SJiawei Lin assert (!bus.d.fire()) 6151f0e2dc7SJiawei Lin } 6161f0e2dc7SJiawei Lin 6171f0e2dc7SJiawei Lin //---------------------------------------- 618ad3ba452Szhanglinjuan // replacement algorithm 619ad3ba452Szhanglinjuan val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets) 620ad3ba452Szhanglinjuan 621ad3ba452Szhanglinjuan val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) 622ad3ba452Szhanglinjuan replWayReqs.foreach{ 623ad3ba452Szhanglinjuan case req => 624ad3ba452Szhanglinjuan req.way := DontCare 625ad3ba452Szhanglinjuan when (req.set.valid) { req.way := replacer.way(req.set.bits) } 626ad3ba452Szhanglinjuan } 627ad3ba452Szhanglinjuan 628ad3ba452Szhanglinjuan val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq( 629ad3ba452Szhanglinjuan mainPipe.io.replace_access, 630ad3ba452Szhanglinjuan refillPipe.io.replace_access 631ad3ba452Szhanglinjuan ) 632ad3ba452Szhanglinjuan val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W)))) 633ad3ba452Szhanglinjuan touchWays.zip(replAccessReqs).foreach { 634ad3ba452Szhanglinjuan case (w, req) => 635ad3ba452Szhanglinjuan w.valid := req.valid 636ad3ba452Szhanglinjuan w.bits := req.bits.way 637ad3ba452Szhanglinjuan } 638ad3ba452Szhanglinjuan val touchSets = replAccessReqs.map(_.bits.set) 639ad3ba452Szhanglinjuan replacer.access(touchSets, touchWays) 640ad3ba452Szhanglinjuan 641ad3ba452Szhanglinjuan //---------------------------------------- 6421f0e2dc7SJiawei Lin // assertions 6431f0e2dc7SJiawei Lin // dcache should only deal with DRAM addresses 6441f0e2dc7SJiawei Lin when (bus.a.fire()) { 6451f0e2dc7SJiawei Lin assert(bus.a.bits.address >= 0x80000000L.U) 6461f0e2dc7SJiawei Lin } 6471f0e2dc7SJiawei Lin when (bus.b.fire()) { 6481f0e2dc7SJiawei Lin assert(bus.b.bits.address >= 0x80000000L.U) 6491f0e2dc7SJiawei Lin } 6501f0e2dc7SJiawei Lin when (bus.c.fire()) { 6511f0e2dc7SJiawei Lin assert(bus.c.bits.address >= 0x80000000L.U) 6521f0e2dc7SJiawei Lin } 6531f0e2dc7SJiawei Lin 6541f0e2dc7SJiawei Lin //---------------------------------------- 6551f0e2dc7SJiawei Lin // utility functions 6561f0e2dc7SJiawei Lin def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 6571f0e2dc7SJiawei Lin sink.valid := source.valid && !block_signal 6581f0e2dc7SJiawei Lin source.ready := sink.ready && !block_signal 6591f0e2dc7SJiawei Lin sink.bits := source.bits 6601f0e2dc7SJiawei Lin } 6611f0e2dc7SJiawei Lin 6621f0e2dc7SJiawei Lin //---------------------------------------- 663e19f7967SWilliam Wang // Customized csr cache op support 664e19f7967SWilliam Wang val cacheOpDecoder = Module(new CSRCacheOpDecoder("dcache", CacheInstrucion.COP_ID_DCACHE)) 665e19f7967SWilliam Wang cacheOpDecoder.io.csr <> io.csr 666e19f7967SWilliam Wang bankedDataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 667e19f7967SWilliam Wang tagArray.io.cacheOp.req := cacheOpDecoder.io.cache.req 668e19f7967SWilliam Wang cacheOpDecoder.io.cache.resp.valid := bankedDataArray.io.cacheOp.resp.valid || 669e19f7967SWilliam Wang tagArray.io.cacheOp.resp.valid 670e19f7967SWilliam Wang cacheOpDecoder.io.cache.resp.bits := Mux1H(List( 671e19f7967SWilliam Wang bankedDataArray.io.cacheOp.resp.valid -> bankedDataArray.io.cacheOp.resp.bits, 672e19f7967SWilliam Wang tagArray.io.cacheOp.resp.valid -> tagArray.io.cacheOp.resp.bits, 673e19f7967SWilliam Wang )) 674026615fcSWilliam Wang cacheOpDecoder.io.error := io.error 675*41b68474SWilliam Wang assert(!((bankedDataArray.io.cacheOp.resp.valid +& tagArray.io.cacheOp.resp.valid) > 1.U)) 676e19f7967SWilliam Wang 677e19f7967SWilliam Wang //---------------------------------------- 6781f0e2dc7SJiawei Lin // performance counters 6791f0e2dc7SJiawei Lin val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire())) 6801f0e2dc7SJiawei Lin XSPerfAccumulate("num_loads", num_loads) 6811f0e2dc7SJiawei Lin 6821f0e2dc7SJiawei Lin io.mshrFull := missQueue.io.full 683ad3ba452Szhanglinjuan 684ad3ba452Szhanglinjuan // performance counter 685ad3ba452Szhanglinjuan val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType)) 686ad3ba452Szhanglinjuan val st_access = Wire(ld_access.last.cloneType) 687ad3ba452Szhanglinjuan ld_access.zip(ldu).foreach { 688ad3ba452Szhanglinjuan case (a, u) => 689ad3ba452Szhanglinjuan a.valid := RegNext(u.io.lsu.req.fire()) && !u.io.lsu.s1_kill 690ad3ba452Szhanglinjuan a.bits.idx := RegNext(get_idx(u.io.lsu.req.bits.addr)) 691ad3ba452Szhanglinjuan a.bits.tag := get_tag(u.io.lsu.s1_paddr) 692ad3ba452Szhanglinjuan } 693ad3ba452Szhanglinjuan st_access.valid := RegNext(mainPipe.io.store_req.fire()) 694ad3ba452Szhanglinjuan st_access.bits.idx := RegNext(get_idx(mainPipe.io.store_req.bits.vaddr)) 695ad3ba452Szhanglinjuan st_access.bits.tag := RegNext(get_tag(mainPipe.io.store_req.bits.addr)) 696ad3ba452Szhanglinjuan val access_info = ld_access.toSeq ++ Seq(st_access) 697ad3ba452Szhanglinjuan val early_replace = RegNext(missQueue.io.debug_early_replace) 698ad3ba452Szhanglinjuan val access_early_replace = access_info.map { 699ad3ba452Szhanglinjuan case acc => 700ad3ba452Szhanglinjuan Cat(early_replace.map { 701ad3ba452Szhanglinjuan case r => 702ad3ba452Szhanglinjuan acc.valid && r.valid && 703ad3ba452Szhanglinjuan acc.bits.tag === r.bits.tag && 704ad3ba452Szhanglinjuan acc.bits.idx === r.bits.idx 705ad3ba452Szhanglinjuan }) 706ad3ba452Szhanglinjuan } 707ad3ba452Szhanglinjuan XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace))) 708cd365d4cSrvcoresjw 7091ca0e4f3SYinan Xu val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents) 7101ca0e4f3SYinan Xu generatePerfEvent() 7111f0e2dc7SJiawei Lin} 7121f0e2dc7SJiawei Lin 7131f0e2dc7SJiawei Linclass AMOHelper() extends ExtModule { 7141f0e2dc7SJiawei Lin val clock = IO(Input(Clock())) 7151f0e2dc7SJiawei Lin val enable = IO(Input(Bool())) 7161f0e2dc7SJiawei Lin val cmd = IO(Input(UInt(5.W))) 7171f0e2dc7SJiawei Lin val addr = IO(Input(UInt(64.W))) 7181f0e2dc7SJiawei Lin val wdata = IO(Input(UInt(64.W))) 7191f0e2dc7SJiawei Lin val mask = IO(Input(UInt(8.W))) 7201f0e2dc7SJiawei Lin val rdata = IO(Output(UInt(64.W))) 7211f0e2dc7SJiawei Lin} 7221f0e2dc7SJiawei Lin 7234f94c0c6SJiawei Linclass DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter { 7241f0e2dc7SJiawei Lin 7254f94c0c6SJiawei Lin val useDcache = coreParams.dcacheParametersOpt.nonEmpty 7264f94c0c6SJiawei Lin val clientNode = if (useDcache) TLIdentityNode() else null 7274f94c0c6SJiawei Lin val dcache = if (useDcache) LazyModule(new DCache()) else null 7284f94c0c6SJiawei Lin if (useDcache) { 7291f0e2dc7SJiawei Lin clientNode := dcache.clientNode 7301f0e2dc7SJiawei Lin } 7311f0e2dc7SJiawei Lin 7321ca0e4f3SYinan Xu lazy val module = new LazyModuleImp(this) with HasPerfEvents { 7331f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 7341ca0e4f3SYinan Xu val perfEvents = if (!useDcache) { 7354f94c0c6SJiawei Lin // a fake dcache which uses dpi-c to access memory, only for debug usage! 7361f0e2dc7SJiawei Lin val fake_dcache = Module(new FakeDCache()) 7371f0e2dc7SJiawei Lin io <> fake_dcache.io 7381ca0e4f3SYinan Xu Seq() 7391f0e2dc7SJiawei Lin } 7401f0e2dc7SJiawei Lin else { 7411f0e2dc7SJiawei Lin io <> dcache.module.io 7421ca0e4f3SYinan Xu dcache.module.getPerfEvents 7431f0e2dc7SJiawei Lin } 7441ca0e4f3SYinan Xu generatePerfEvent() 7451f0e2dc7SJiawei Lin } 7461f0e2dc7SJiawei Lin} 747