xref: /XiangShan/src/main/scala/xiangshan/cache/dcache/DCacheWrapper.scala (revision 20e09ab1c64d6ee007e15d79fcc1ff1b0d54e251)
11f0e2dc7SJiawei Lin/***************************************************************************************
21f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
31f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Peng Cheng Laboratory
41f0e2dc7SJiawei Lin*
51f0e2dc7SJiawei Lin* XiangShan is licensed under Mulan PSL v2.
61f0e2dc7SJiawei Lin* You can use this software according to the terms and conditions of the Mulan PSL v2.
71f0e2dc7SJiawei Lin* You may obtain a copy of Mulan PSL v2 at:
81f0e2dc7SJiawei Lin*          http://license.coscl.org.cn/MulanPSL2
91f0e2dc7SJiawei Lin*
101f0e2dc7SJiawei Lin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
111f0e2dc7SJiawei Lin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
121f0e2dc7SJiawei Lin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
131f0e2dc7SJiawei Lin*
141f0e2dc7SJiawei Lin* See the Mulan PSL v2 for more details.
151f0e2dc7SJiawei Lin***************************************************************************************/
161f0e2dc7SJiawei Lin
171f0e2dc7SJiawei Linpackage xiangshan.cache
181f0e2dc7SJiawei Lin
191f0e2dc7SJiawei Linimport chisel3._
201f0e2dc7SJiawei Linimport chisel3.experimental.ExtModule
211f0e2dc7SJiawei Linimport chisel3.util._
227f37d55fSTang Haojinimport coupledL2.VaddrField
23d2945707SHuijin Liimport coupledL2.IsKeywordField
24d2945707SHuijin Liimport coupledL2.IsKeywordKey
251f0e2dc7SJiawei Linimport freechips.rocketchip.diplomacy.{IdRange, LazyModule, LazyModuleImp, TransferSizes}
261f0e2dc7SJiawei Linimport freechips.rocketchip.tilelink._
277f37d55fSTang Haojinimport freechips.rocketchip.util.BundleFieldBase
287f37d55fSTang Haojinimport huancun.{AliasField, PrefetchField}
297f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters
307f37d55fSTang Haojinimport utility._
317f37d55fSTang Haojinimport utils._
327f37d55fSTang Haojinimport xiangshan._
337f37d55fSTang Haojinimport xiangshan.backend.rob.RobDebugRollingIO
3404665835SMaxpicca-Liimport xiangshan.cache.wpu._
357f37d55fSTang Haojinimport xiangshan.mem.{AddPipelineReg, HasL1PrefetchSourceParameter}
360d32f713Shappy-lximport xiangshan.mem.prefetch._
37d2945707SHuijin Liimport xiangshan.mem.LqPtr
385668a921SJiawei Lin
391f0e2dc7SJiawei Lin// DCache specific parameters
401f0e2dc7SJiawei Lincase class DCacheParameters
411f0e2dc7SJiawei Lin(
42*20e09ab1Shappy-lx  nSets: Int = 128,
431f0e2dc7SJiawei Lin  nWays: Int = 8,
44af22dd7cSWilliam Wang  rowBits: Int = 64,
451f0e2dc7SJiawei Lin  tagECC: Option[String] = None,
461f0e2dc7SJiawei Lin  dataECC: Option[String] = None,
47300ded30SWilliam Wang  replacer: Option[String] = Some("setplru"),
48fa9ac9b6SWilliam Wang  updateReplaceOn2ndmiss: Boolean = true,
491f0e2dc7SJiawei Lin  nMissEntries: Int = 1,
501f0e2dc7SJiawei Lin  nProbeEntries: Int = 1,
511f0e2dc7SJiawei Lin  nReleaseEntries: Int = 1,
521f0e2dc7SJiawei Lin  nMMIOEntries: Int = 1,
531f0e2dc7SJiawei Lin  nMMIOs: Int = 1,
54fddcfe1fSwakafa  blockBytes: Int = 64,
550d32f713Shappy-lx  nMaxPrefetchEntry: Int = 1,
56d2945707SHuijin Li  alwaysReleaseData: Boolean = false,
57d2945707SHuijin Li  isKeywordBitsOpt: Option[Boolean] = Some(true)
581f0e2dc7SJiawei Lin) extends L1CacheParameters {
591f0e2dc7SJiawei Lin  // if sets * blockBytes > 4KB(page size),
601f0e2dc7SJiawei Lin  // cache alias will happen,
611f0e2dc7SJiawei Lin  // we need to avoid this by recoding additional bits in L2 cache
621f0e2dc7SJiawei Lin  val setBytes = nSets * blockBytes
631f0e2dc7SJiawei Lin  val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None
641f0e2dc7SJiawei Lin
651f0e2dc7SJiawei Lin  def tagCode: Code = Code.fromString(tagECC)
661f0e2dc7SJiawei Lin
671f0e2dc7SJiawei Lin  def dataCode: Code = Code.fromString(dataECC)
681f0e2dc7SJiawei Lin}
691f0e2dc7SJiawei Lin
701f0e2dc7SJiawei Lin//           Physical Address
711f0e2dc7SJiawei Lin// --------------------------------------
721f0e2dc7SJiawei Lin// |   Physical Tag |  PIndex  | Offset |
731f0e2dc7SJiawei Lin// --------------------------------------
741f0e2dc7SJiawei Lin//                  |
751f0e2dc7SJiawei Lin//                  DCacheTagOffset
761f0e2dc7SJiawei Lin//
771f0e2dc7SJiawei Lin//           Virtual Address
781f0e2dc7SJiawei Lin// --------------------------------------
791f0e2dc7SJiawei Lin// | Above index  | Set | Bank | Offset |
801f0e2dc7SJiawei Lin// --------------------------------------
811f0e2dc7SJiawei Lin//                |     |      |        |
82ca18a0b4SWilliam Wang//                |     |      |        0
831f0e2dc7SJiawei Lin//                |     |      DCacheBankOffset
841f0e2dc7SJiawei Lin//                |     DCacheSetOffset
851f0e2dc7SJiawei Lin//                DCacheAboveIndexOffset
861f0e2dc7SJiawei Lin
871f0e2dc7SJiawei Lin// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte
881f0e2dc7SJiawei Lin
890d32f713Shappy-lxtrait HasDCacheParameters extends HasL1CacheParameters with HasL1PrefetchSourceParameter{
901f0e2dc7SJiawei Lin  val cacheParams = dcacheParameters
911f0e2dc7SJiawei Lin  val cfg = cacheParams
921f0e2dc7SJiawei Lin
931f0e2dc7SJiawei Lin  def encWordBits = cacheParams.dataCode.width(wordBits)
941f0e2dc7SJiawei Lin
951f0e2dc7SJiawei Lin  def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only
961f0e2dc7SJiawei Lin  def eccBits = encWordBits - wordBits
971f0e2dc7SJiawei Lin
98e19f7967SWilliam Wang  def encTagBits = cacheParams.tagCode.width(tagBits)
99e19f7967SWilliam Wang  def eccTagBits = encTagBits - tagBits
100e19f7967SWilliam Wang
1011f0e2dc7SJiawei Lin  def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant
1021f0e2dc7SJiawei Lin
1032db9ec44SLinJiawei  def nSourceType = 10
1041f0e2dc7SJiawei Lin  def sourceTypeWidth = log2Up(nSourceType)
10500575ac8SWilliam Wang  // non-prefetch source < 3
1061f0e2dc7SJiawei Lin  def LOAD_SOURCE = 0
1071f0e2dc7SJiawei Lin  def STORE_SOURCE = 1
1081f0e2dc7SJiawei Lin  def AMO_SOURCE = 2
10900575ac8SWilliam Wang  // prefetch source >= 3
11000575ac8SWilliam Wang  def DCACHE_PREFETCH_SOURCE = 3
1112db9ec44SLinJiawei  def SOFT_PREFETCH = 4
1120d32f713Shappy-lx  // the following sources are only used inside SMS
1132db9ec44SLinJiawei  def HW_PREFETCH_AGT = 5
1142db9ec44SLinJiawei  def HW_PREFETCH_PHT_CUR = 6
1152db9ec44SLinJiawei  def HW_PREFETCH_PHT_INC = 7
1162db9ec44SLinJiawei  def HW_PREFETCH_PHT_DEC = 8
1172db9ec44SLinJiawei  def HW_PREFETCH_BOP = 9
1182db9ec44SLinJiawei  def HW_PREFETCH_STRIDE = 10
1191f0e2dc7SJiawei Lin
1200d32f713Shappy-lx  def BLOOM_FILTER_ENTRY_NUM = 4096
1210d32f713Shappy-lx
1221f0e2dc7SJiawei Lin  // each source use a id to distinguish its multiple reqs
1238b1251e1SWilliam Wang  def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize)
1241f0e2dc7SJiawei Lin
125300ded30SWilliam Wang  require(isPow2(cfg.nMissEntries)) // TODO
126300ded30SWilliam Wang  // require(isPow2(cfg.nReleaseEntries))
127300ded30SWilliam Wang  require(cfg.nMissEntries < cfg.nReleaseEntries)
128300ded30SWilliam Wang  val nEntries = cfg.nMissEntries + cfg.nReleaseEntries
129300ded30SWilliam Wang  val releaseIdBase = cfg.nMissEntries
130ad3ba452Szhanglinjuan
1311f0e2dc7SJiawei Lin  // banked dcache support
1323eeae490SMaxpicca-Li  val DCacheSetDiv = 1
1331f0e2dc7SJiawei Lin  val DCacheSets = cacheParams.nSets
1341f0e2dc7SJiawei Lin  val DCacheWays = cacheParams.nWays
135af22dd7cSWilliam Wang  val DCacheBanks = 8 // hardcoded
136a9c1b353SMaxpicca-Li  val DCacheDupNum = 16
137af22dd7cSWilliam Wang  val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded
138ca18a0b4SWilliam Wang  val DCacheWordBits = 64 // hardcoded
139ca18a0b4SWilliam Wang  val DCacheWordBytes = DCacheWordBits / 8
1400d32f713Shappy-lx  val MaxPrefetchEntry = cacheParams.nMaxPrefetchEntry
141cdbff57cSHaoyuan Feng  val DCacheVWordBytes = VLEN / 8
142af22dd7cSWilliam Wang  require(DCacheSRAMRowBits == 64)
1431f0e2dc7SJiawei Lin
1443eeae490SMaxpicca-Li  val DCacheSetDivBits = log2Ceil(DCacheSetDiv)
1453eeae490SMaxpicca-Li  val DCacheSetBits = log2Ceil(DCacheSets)
146ca18a0b4SWilliam Wang  val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets
147ca18a0b4SWilliam Wang  val DCacheSizeBytes = DCacheSizeBits / 8
148ca18a0b4SWilliam Wang  val DCacheSizeWords = DCacheSizeBits / 64 // TODO
1491f0e2dc7SJiawei Lin
1501f0e2dc7SJiawei Lin  val DCacheSameVPAddrLength = 12
1511f0e2dc7SJiawei Lin
1521f0e2dc7SJiawei Lin  val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8
153ca18a0b4SWilliam Wang  val DCacheWordOffset = log2Up(DCacheWordBytes)
154cdbff57cSHaoyuan Feng  val DCacheVWordOffset = log2Up(DCacheVWordBytes)
155ca18a0b4SWilliam Wang
156ca18a0b4SWilliam Wang  val DCacheBankOffset = log2Up(DCacheSRAMRowBytes)
1571f0e2dc7SJiawei Lin  val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks)
1581f0e2dc7SJiawei Lin  val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets)
1591f0e2dc7SJiawei Lin  val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength
160ca18a0b4SWilliam Wang  val DCacheLineOffset = DCacheSetOffset
1611f0e2dc7SJiawei Lin
16237225120Ssfencevma  // uncache
163e4f69d78Ssfencevma  val uncacheIdxBits = log2Up(StoreQueueSize + 1) max log2Up(VirtualLoadQueueSize + 1)
164b52348aeSWilliam Wang  // hardware prefetch parameters
165b52348aeSWilliam Wang  // high confidence hardware prefetch port
166b52348aeSWilliam Wang  val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default
167b52348aeSWilliam Wang  val IgnorePrefetchConfidence = false
16837225120Ssfencevma
1696c7e5e86Szhanglinjuan  // parameters about duplicating regs to solve fanout
1706c7e5e86Szhanglinjuan  // In Main Pipe:
1716c7e5e86Szhanglinjuan    // tag_write.ready -> data_write.valid * 8 banks
1726c7e5e86Szhanglinjuan    // tag_write.ready -> meta_write.valid
1736c7e5e86Szhanglinjuan    // tag_write.ready -> tag_write.valid
1746c7e5e86Szhanglinjuan    // tag_write.ready -> err_write.valid
1756c7e5e86Szhanglinjuan    // tag_write.ready -> wb.valid
1766c7e5e86Szhanglinjuan  val nDupTagWriteReady = DCacheBanks + 4
1776c7e5e86Szhanglinjuan  // In Main Pipe:
1786c7e5e86Szhanglinjuan    // data_write.ready -> data_write.valid * 8 banks
1796c7e5e86Szhanglinjuan    // data_write.ready -> meta_write.valid
1806c7e5e86Szhanglinjuan    // data_write.ready -> tag_write.valid
1816c7e5e86Szhanglinjuan    // data_write.ready -> err_write.valid
1826c7e5e86Szhanglinjuan    // data_write.ready -> wb.valid
1836c7e5e86Szhanglinjuan  val nDupDataWriteReady = DCacheBanks + 4
1846c7e5e86Szhanglinjuan  val nDupWbReady = DCacheBanks + 4
1856c7e5e86Szhanglinjuan  val nDupStatus = nDupTagWriteReady + nDupDataWriteReady
1866c7e5e86Szhanglinjuan  val dataWritePort = 0
1876c7e5e86Szhanglinjuan  val metaWritePort = DCacheBanks
1886c7e5e86Szhanglinjuan  val tagWritePort = metaWritePort + 1
1896c7e5e86Szhanglinjuan  val errWritePort = tagWritePort + 1
1906c7e5e86Szhanglinjuan  val wbPort = errWritePort + 1
1916c7e5e86Szhanglinjuan
1923eeae490SMaxpicca-Li  def set_to_dcache_div(set: UInt) = {
1933eeae490SMaxpicca-Li    require(set.getWidth >= DCacheSetBits)
1943eeae490SMaxpicca-Li    if (DCacheSetDivBits == 0) 0.U else set(DCacheSetDivBits-1, 0)
1953eeae490SMaxpicca-Li  }
1963eeae490SMaxpicca-Li
1973eeae490SMaxpicca-Li  def set_to_dcache_div_set(set: UInt) = {
1983eeae490SMaxpicca-Li    require(set.getWidth >= DCacheSetBits)
1993eeae490SMaxpicca-Li    set(DCacheSetBits - 1, DCacheSetDivBits)
2003eeae490SMaxpicca-Li  }
2013eeae490SMaxpicca-Li
2021f0e2dc7SJiawei Lin  def addr_to_dcache_bank(addr: UInt) = {
2031f0e2dc7SJiawei Lin    require(addr.getWidth >= DCacheSetOffset)
2041f0e2dc7SJiawei Lin    addr(DCacheSetOffset-1, DCacheBankOffset)
2051f0e2dc7SJiawei Lin  }
2061f0e2dc7SJiawei Lin
2073eeae490SMaxpicca-Li  def addr_to_dcache_div(addr: UInt) = {
2083eeae490SMaxpicca-Li    require(addr.getWidth >= DCacheAboveIndexOffset)
2093eeae490SMaxpicca-Li    if(DCacheSetDivBits == 0) 0.U else addr(DCacheSetOffset + DCacheSetDivBits - 1, DCacheSetOffset)
2103eeae490SMaxpicca-Li  }
2113eeae490SMaxpicca-Li
2123eeae490SMaxpicca-Li  def addr_to_dcache_div_set(addr: UInt) = {
2133eeae490SMaxpicca-Li    require(addr.getWidth >= DCacheAboveIndexOffset)
2143eeae490SMaxpicca-Li    addr(DCacheAboveIndexOffset - 1, DCacheSetOffset + DCacheSetDivBits)
2153eeae490SMaxpicca-Li  }
2163eeae490SMaxpicca-Li
2171f0e2dc7SJiawei Lin  def addr_to_dcache_set(addr: UInt) = {
2181f0e2dc7SJiawei Lin    require(addr.getWidth >= DCacheAboveIndexOffset)
2191f0e2dc7SJiawei Lin    addr(DCacheAboveIndexOffset-1, DCacheSetOffset)
2201f0e2dc7SJiawei Lin  }
2211f0e2dc7SJiawei Lin
2221f0e2dc7SJiawei Lin  def get_data_of_bank(bank: Int, data: UInt) = {
2231f0e2dc7SJiawei Lin    require(data.getWidth >= (bank+1)*DCacheSRAMRowBits)
2241f0e2dc7SJiawei Lin    data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank)
2251f0e2dc7SJiawei Lin  }
2261f0e2dc7SJiawei Lin
2271f0e2dc7SJiawei Lin  def get_mask_of_bank(bank: Int, data: UInt) = {
2281f0e2dc7SJiawei Lin    require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes)
2291f0e2dc7SJiawei Lin    data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank)
2301f0e2dc7SJiawei Lin  }
2311f0e2dc7SJiawei Lin
232401876faSYanqin Li  def get_alias(vaddr: UInt): UInt ={
233*20e09ab1Shappy-lx    // require(blockOffBits + idxBits > pgIdxBits)
234401876faSYanqin Li    if(blockOffBits + idxBits > pgIdxBits){
235401876faSYanqin Li      vaddr(blockOffBits + idxBits - 1, pgIdxBits)
236401876faSYanqin Li    }else{
237401876faSYanqin Li      0.U
238401876faSYanqin Li    }
239401876faSYanqin Li  }
2401f0e2dc7SJiawei Lin
2410d32f713Shappy-lx  def is_alias_match(vaddr0: UInt, vaddr1: UInt): Bool = {
2420d32f713Shappy-lx    require(vaddr0.getWidth == VAddrBits && vaddr1.getWidth == VAddrBits)
2430d32f713Shappy-lx    if(blockOffBits + idxBits > pgIdxBits) {
2440d32f713Shappy-lx      vaddr0(blockOffBits + idxBits - 1, pgIdxBits) === vaddr1(blockOffBits + idxBits - 1, pgIdxBits)
2450d32f713Shappy-lx    }else {
2460d32f713Shappy-lx      // no alias problem
2470d32f713Shappy-lx      true.B
2480d32f713Shappy-lx    }
2490d32f713Shappy-lx  }
2500d32f713Shappy-lx
25104665835SMaxpicca-Li  def get_direct_map_way(addr:UInt): UInt = {
25204665835SMaxpicca-Li    addr(DCacheAboveIndexOffset + log2Up(DCacheWays) - 1, DCacheAboveIndexOffset)
25304665835SMaxpicca-Li  }
25404665835SMaxpicca-Li
255578c21a4Szhanglinjuan  def arbiter[T <: Bundle](
256578c21a4Szhanglinjuan    in: Seq[DecoupledIO[T]],
257578c21a4Szhanglinjuan    out: DecoupledIO[T],
258578c21a4Szhanglinjuan    name: Option[String] = None): Unit = {
259578c21a4Szhanglinjuan    val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size))
260578c21a4Szhanglinjuan    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
261578c21a4Szhanglinjuan    for ((a, req) <- arb.io.in.zip(in)) {
262578c21a4Szhanglinjuan      a <> req
263578c21a4Szhanglinjuan    }
264578c21a4Szhanglinjuan    out <> arb.io.out
265578c21a4Szhanglinjuan  }
266578c21a4Szhanglinjuan
267b36dd5fdSWilliam Wang  def arbiter_with_pipereg[T <: Bundle](
268b36dd5fdSWilliam Wang    in: Seq[DecoupledIO[T]],
269b36dd5fdSWilliam Wang    out: DecoupledIO[T],
270b36dd5fdSWilliam Wang    name: Option[String] = None): Unit = {
271b36dd5fdSWilliam Wang    val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size))
272b36dd5fdSWilliam Wang    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
273b36dd5fdSWilliam Wang    for ((a, req) <- arb.io.in.zip(in)) {
274b36dd5fdSWilliam Wang      a <> req
275b36dd5fdSWilliam Wang    }
276b36dd5fdSWilliam Wang    AddPipelineReg(arb.io.out, out, false.B)
277b36dd5fdSWilliam Wang  }
278b36dd5fdSWilliam Wang
279b11ec622Slixin  def arbiter_with_pipereg_N_dup[T <: Bundle](
280b11ec622Slixin    in: Seq[DecoupledIO[T]],
281b11ec622Slixin    out: DecoupledIO[T],
282c3a5fe5fShappy-lx    dups: Seq[DecoupledIO[T]],
283b11ec622Slixin    name: Option[String] = None): Unit = {
284b11ec622Slixin    val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size))
285b11ec622Slixin    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
286b11ec622Slixin    for ((a, req) <- arb.io.in.zip(in)) {
287b11ec622Slixin      a <> req
288b11ec622Slixin    }
289b11ec622Slixin    for (dup <- dups) {
290c3a5fe5fShappy-lx      AddPipelineReg(arb.io.out, dup, false.B)
291b11ec622Slixin    }
292c3a5fe5fShappy-lx    AddPipelineReg(arb.io.out, out, false.B)
293b11ec622Slixin  }
294b11ec622Slixin
295578c21a4Szhanglinjuan  def rrArbiter[T <: Bundle](
296578c21a4Szhanglinjuan    in: Seq[DecoupledIO[T]],
297578c21a4Szhanglinjuan    out: DecoupledIO[T],
298578c21a4Szhanglinjuan    name: Option[String] = None): Unit = {
299578c21a4Szhanglinjuan    val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size))
300578c21a4Szhanglinjuan    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
301578c21a4Szhanglinjuan    for ((a, req) <- arb.io.in.zip(in)) {
302578c21a4Szhanglinjuan      a <> req
303578c21a4Szhanglinjuan    }
304578c21a4Szhanglinjuan    out <> arb.io.out
305578c21a4Szhanglinjuan  }
306578c21a4Szhanglinjuan
3077cd72b71Szhanglinjuan  def fastArbiter[T <: Bundle](
3087cd72b71Szhanglinjuan    in: Seq[DecoupledIO[T]],
3097cd72b71Szhanglinjuan    out: DecoupledIO[T],
3107cd72b71Szhanglinjuan    name: Option[String] = None): Unit = {
3117cd72b71Szhanglinjuan    val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size))
3127cd72b71Szhanglinjuan    if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") }
3137cd72b71Szhanglinjuan    for ((a, req) <- arb.io.in.zip(in)) {
3147cd72b71Szhanglinjuan      a <> req
3157cd72b71Szhanglinjuan    }
3167cd72b71Szhanglinjuan    out <> arb.io.out
3177cd72b71Szhanglinjuan  }
3187cd72b71Szhanglinjuan
319ad3ba452Szhanglinjuan  val numReplaceRespPorts = 2
320ad3ba452Szhanglinjuan
3211f0e2dc7SJiawei Lin  require(isPow2(nSets), s"nSets($nSets) must be pow2")
3221f0e2dc7SJiawei Lin  require(isPow2(nWays), s"nWays($nWays) must be pow2")
3231f0e2dc7SJiawei Lin  require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)")
3241f0e2dc7SJiawei Lin  require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)")
3251f0e2dc7SJiawei Lin}
3261f0e2dc7SJiawei Lin
3271f0e2dc7SJiawei Linabstract class DCacheModule(implicit p: Parameters) extends L1CacheModule
3281f0e2dc7SJiawei Lin  with HasDCacheParameters
3291f0e2dc7SJiawei Lin
3301f0e2dc7SJiawei Linabstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle
3311f0e2dc7SJiawei Lin  with HasDCacheParameters
3321f0e2dc7SJiawei Lin
3331f0e2dc7SJiawei Linclass ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle {
3341f0e2dc7SJiawei Lin  val set = UInt(log2Up(nSets).W)
3351f0e2dc7SJiawei Lin  val way = UInt(log2Up(nWays).W)
3361f0e2dc7SJiawei Lin}
3371f0e2dc7SJiawei Lin
338ad3ba452Szhanglinjuanclass ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle {
339ad3ba452Szhanglinjuan  val set = ValidIO(UInt(log2Up(nSets).W))
34004665835SMaxpicca-Li  val dmWay = Output(UInt(log2Up(nWays).W))
341ad3ba452Szhanglinjuan  val way = Input(UInt(log2Up(nWays).W))
342ad3ba452Szhanglinjuan}
343ad3ba452Szhanglinjuan
3443af6aa6eSWilliam Wangclass DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle
3453af6aa6eSWilliam Wang{
3463af6aa6eSWilliam Wang  val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store
3470d32f713Shappy-lx  val prefetch = UInt(L1PfSourceBits.W) // cache line is first required by prefetch
3483af6aa6eSWilliam Wang  val access = Bool() // cache line has been accessed by load / store
3493af6aa6eSWilliam Wang
3503af6aa6eSWilliam Wang  // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline
3513af6aa6eSWilliam Wang}
3523af6aa6eSWilliam Wang
3531f0e2dc7SJiawei Lin// memory request in word granularity(load, mmio, lr/sc, atomics)
3541f0e2dc7SJiawei Linclass DCacheWordReq(implicit p: Parameters) extends DCacheBundle
3551f0e2dc7SJiawei Lin{
3561f0e2dc7SJiawei Lin  val cmd    = UInt(M_SZ.W)
357d2b20d1aSTang Haojin  val vaddr  = UInt(VAddrBits.W)
358cdbff57cSHaoyuan Feng  val data   = UInt(VLEN.W)
359cdbff57cSHaoyuan Feng  val mask   = UInt((VLEN/8).W)
3601f0e2dc7SJiawei Lin  val id     = UInt(reqIdWidth.W)
3613f4ec46fSCODE-JTZ  val instrtype   = UInt(sourceTypeWidth.W)
362da3bf434SMaxpicca-Li  val isFirstIssue = Bool()
36304665835SMaxpicca-Li  val replayCarry = new ReplayCarry(nWays)
364d2945707SHuijin Li  val lqIdx = new LqPtr
365da3bf434SMaxpicca-Li
366da3bf434SMaxpicca-Li  val debug_robIdx = UInt(log2Ceil(RobSize).W)
3671f0e2dc7SJiawei Lin  def dump() = {
368d2b20d1aSTang Haojin    XSDebug("DCacheWordReq: cmd: %x vaddr: %x data: %x mask: %x id: %d\n",
369d2b20d1aSTang Haojin      cmd, vaddr, data, mask, id)
3701f0e2dc7SJiawei Lin  }
3711f0e2dc7SJiawei Lin}
3721f0e2dc7SJiawei Lin
3731f0e2dc7SJiawei Lin// memory request in word granularity(store)
3741f0e2dc7SJiawei Linclass DCacheLineReq(implicit p: Parameters) extends DCacheBundle
3751f0e2dc7SJiawei Lin{
3761f0e2dc7SJiawei Lin  val cmd    = UInt(M_SZ.W)
3771f0e2dc7SJiawei Lin  val vaddr  = UInt(VAddrBits.W)
3781f0e2dc7SJiawei Lin  val addr   = UInt(PAddrBits.W)
3791f0e2dc7SJiawei Lin  val data   = UInt((cfg.blockBytes * 8).W)
3801f0e2dc7SJiawei Lin  val mask   = UInt(cfg.blockBytes.W)
3811f0e2dc7SJiawei Lin  val id     = UInt(reqIdWidth.W)
3821f0e2dc7SJiawei Lin  def dump() = {
3831f0e2dc7SJiawei Lin    XSDebug("DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n",
3841f0e2dc7SJiawei Lin      cmd, addr, data, mask, id)
3851f0e2dc7SJiawei Lin  }
386ad3ba452Szhanglinjuan  def idx: UInt = get_idx(vaddr)
3871f0e2dc7SJiawei Lin}
3881f0e2dc7SJiawei Lin
3891f0e2dc7SJiawei Linclass DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq {
390d2b20d1aSTang Haojin  val addr = UInt(PAddrBits.W)
391ca18a0b4SWilliam Wang  val wline = Bool()
3921f0e2dc7SJiawei Lin}
3931f0e2dc7SJiawei Lin
3940d32f713Shappy-lxclass DCacheWordReqWithVaddrAndPfFlag(implicit p: Parameters) extends DCacheWordReqWithVaddr {
3950d32f713Shappy-lx  val prefetch = Bool()
3960d32f713Shappy-lx
3970d32f713Shappy-lx  def toDCacheWordReqWithVaddr() = {
3980d32f713Shappy-lx    val res = Wire(new DCacheWordReqWithVaddr)
3990d32f713Shappy-lx    res.vaddr := vaddr
4000d32f713Shappy-lx    res.wline := wline
4010d32f713Shappy-lx    res.cmd := cmd
4020d32f713Shappy-lx    res.addr := addr
4030d32f713Shappy-lx    res.data := data
4040d32f713Shappy-lx    res.mask := mask
4050d32f713Shappy-lx    res.id := id
4060d32f713Shappy-lx    res.instrtype := instrtype
4070d32f713Shappy-lx    res.replayCarry := replayCarry
4080d32f713Shappy-lx    res.isFirstIssue := isFirstIssue
4090d32f713Shappy-lx    res.debug_robIdx := debug_robIdx
4100d32f713Shappy-lx
4110d32f713Shappy-lx    res
4120d32f713Shappy-lx  }
4130d32f713Shappy-lx}
4140d32f713Shappy-lx
4156786cfb7SWilliam Wangclass BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle
4161f0e2dc7SJiawei Lin{
417144422dcSMaxpicca-Li  // read in s2
418cdbff57cSHaoyuan Feng  val data = UInt(VLEN.W)
419144422dcSMaxpicca-Li  // select in s3
420cdbff57cSHaoyuan Feng  val data_delayed = UInt(VLEN.W)
421026615fcSWilliam Wang  val id     = UInt(reqIdWidth.W)
4221f0e2dc7SJiawei Lin  // cache req missed, send it to miss queue
4231f0e2dc7SJiawei Lin  val miss   = Bool()
424026615fcSWilliam Wang  // cache miss, and failed to enter the missqueue, replay from RS is needed
4251f0e2dc7SJiawei Lin  val replay = Bool()
42604665835SMaxpicca-Li  val replayCarry = new ReplayCarry(nWays)
427026615fcSWilliam Wang  // data has been corrupted
428a469aa4bSWilliam Wang  val tag_error = Bool() // tag error
429144422dcSMaxpicca-Li  val mshr_id = UInt(log2Up(cfg.nMissEntries).W)
430144422dcSMaxpicca-Li
431da3bf434SMaxpicca-Li  val debug_robIdx = UInt(log2Ceil(RobSize).W)
4321f0e2dc7SJiawei Lin  def dump() = {
4331f0e2dc7SJiawei Lin    XSDebug("DCacheWordResp: data: %x id: %d miss: %b replay: %b\n",
4341f0e2dc7SJiawei Lin      data, id, miss, replay)
4351f0e2dc7SJiawei Lin  }
4361f0e2dc7SJiawei Lin}
4371f0e2dc7SJiawei Lin
4386786cfb7SWilliam Wangclass DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp
4396786cfb7SWilliam Wang{
4400d32f713Shappy-lx  val meta_prefetch = UInt(L1PfSourceBits.W)
4414b6d4d13SWilliam Wang  val meta_access = Bool()
442b9e121dfShappy-lx  // s2
443b9e121dfShappy-lx  val handled = Bool()
4440d32f713Shappy-lx  val real_miss = Bool()
445b9e121dfShappy-lx  // s3: 1 cycle after data resp
4466786cfb7SWilliam Wang  val error_delayed = Bool() // all kinds of errors, include tag error
447b9e121dfShappy-lx  val replacementUpdated = Bool()
4486786cfb7SWilliam Wang}
4496786cfb7SWilliam Wang
450a19ae480SWilliam Wangclass BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp
451a19ae480SWilliam Wang{
452a19ae480SWilliam Wang  val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W))
453a19ae480SWilliam Wang  val bank_oh = UInt(DCacheBanks.W)
454a19ae480SWilliam Wang}
455a19ae480SWilliam Wang
4566786cfb7SWilliam Wangclass DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp
4576786cfb7SWilliam Wang{
4586786cfb7SWilliam Wang  val error = Bool() // all kinds of errors, include tag error
4596786cfb7SWilliam Wang}
4606786cfb7SWilliam Wang
4611f0e2dc7SJiawei Linclass DCacheLineResp(implicit p: Parameters) extends DCacheBundle
4621f0e2dc7SJiawei Lin{
4631f0e2dc7SJiawei Lin  val data   = UInt((cfg.blockBytes * 8).W)
4641f0e2dc7SJiawei Lin  // cache req missed, send it to miss queue
4651f0e2dc7SJiawei Lin  val miss   = Bool()
4661f0e2dc7SJiawei Lin  // cache req nacked, replay it later
4671f0e2dc7SJiawei Lin  val replay = Bool()
4681f0e2dc7SJiawei Lin  val id     = UInt(reqIdWidth.W)
4691f0e2dc7SJiawei Lin  def dump() = {
4701f0e2dc7SJiawei Lin    XSDebug("DCacheLineResp: data: %x id: %d miss: %b replay: %b\n",
4711f0e2dc7SJiawei Lin      data, id, miss, replay)
4721f0e2dc7SJiawei Lin  }
4731f0e2dc7SJiawei Lin}
4741f0e2dc7SJiawei Lin
4751f0e2dc7SJiawei Linclass Refill(implicit p: Parameters) extends DCacheBundle
4761f0e2dc7SJiawei Lin{
4771f0e2dc7SJiawei Lin  val addr   = UInt(PAddrBits.W)
4781f0e2dc7SJiawei Lin  val data   = UInt(l1BusDataWidth.W)
479026615fcSWilliam Wang  val error  = Bool() // refilled data has been corrupted
4801f0e2dc7SJiawei Lin  // for debug usage
4811f0e2dc7SJiawei Lin  val data_raw = UInt((cfg.blockBytes * 8).W)
4821f0e2dc7SJiawei Lin  val hasdata = Bool()
4831f0e2dc7SJiawei Lin  val refill_done = Bool()
4841f0e2dc7SJiawei Lin  def dump() = {
4851f0e2dc7SJiawei Lin    XSDebug("Refill: addr: %x data: %x\n", addr, data)
4861f0e2dc7SJiawei Lin  }
487683c1411Shappy-lx  val id     = UInt(log2Up(cfg.nMissEntries).W)
4881f0e2dc7SJiawei Lin}
4891f0e2dc7SJiawei Lin
49067682d05SWilliam Wangclass Release(implicit p: Parameters) extends DCacheBundle
49167682d05SWilliam Wang{
49267682d05SWilliam Wang  val paddr  = UInt(PAddrBits.W)
49367682d05SWilliam Wang  def dump() = {
49467682d05SWilliam Wang    XSDebug("Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset))
49567682d05SWilliam Wang  }
49667682d05SWilliam Wang}
49767682d05SWilliam Wang
4981f0e2dc7SJiawei Linclass DCacheWordIO(implicit p: Parameters) extends DCacheBundle
4991f0e2dc7SJiawei Lin{
5001f0e2dc7SJiawei Lin  val req  = DecoupledIO(new DCacheWordReq)
501144422dcSMaxpicca-Li  val resp = Flipped(DecoupledIO(new DCacheWordResp))
5021f0e2dc7SJiawei Lin}
5031f0e2dc7SJiawei Lin
50437225120Ssfencevma
50537225120Ssfencevmaclass UncacheWordReq(implicit p: Parameters) extends DCacheBundle
50637225120Ssfencevma{
50737225120Ssfencevma  val cmd  = UInt(M_SZ.W)
50837225120Ssfencevma  val addr = UInt(PAddrBits.W)
509cdbff57cSHaoyuan Feng  val data = UInt(XLEN.W)
510cdbff57cSHaoyuan Feng  val mask = UInt((XLEN/8).W)
51137225120Ssfencevma  val id   = UInt(uncacheIdxBits.W)
51237225120Ssfencevma  val instrtype = UInt(sourceTypeWidth.W)
51337225120Ssfencevma  val atomic = Bool()
514da3bf434SMaxpicca-Li  val isFirstIssue = Bool()
51504665835SMaxpicca-Li  val replayCarry = new ReplayCarry(nWays)
51637225120Ssfencevma
51737225120Ssfencevma  def dump() = {
51837225120Ssfencevma    XSDebug("UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n",
51937225120Ssfencevma      cmd, addr, data, mask, id)
52037225120Ssfencevma  }
52137225120Ssfencevma}
52237225120Ssfencevma
523cdbff57cSHaoyuan Fengclass UncacheWordResp(implicit p: Parameters) extends DCacheBundle
52437225120Ssfencevma{
525cdbff57cSHaoyuan Feng  val data      = UInt(XLEN.W)
526cdbff57cSHaoyuan Feng  val data_delayed = UInt(XLEN.W)
52737225120Ssfencevma  val id        = UInt(uncacheIdxBits.W)
52837225120Ssfencevma  val miss      = Bool()
52937225120Ssfencevma  val replay    = Bool()
53037225120Ssfencevma  val tag_error = Bool()
53137225120Ssfencevma  val error     = Bool()
53204665835SMaxpicca-Li  val replayCarry = new ReplayCarry(nWays)
533144422dcSMaxpicca-Li  val mshr_id = UInt(log2Up(cfg.nMissEntries).W)  // FIXME: why uncacheWordResp is not merged to baseDcacheResp
53437225120Ssfencevma
535da3bf434SMaxpicca-Li  val debug_robIdx = UInt(log2Ceil(RobSize).W)
53637225120Ssfencevma  def dump() = {
53737225120Ssfencevma    XSDebug("UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n",
53837225120Ssfencevma      data, id, miss, replay, tag_error, error)
53937225120Ssfencevma  }
54037225120Ssfencevma}
54137225120Ssfencevma
5426786cfb7SWilliam Wangclass UncacheWordIO(implicit p: Parameters) extends DCacheBundle
5436786cfb7SWilliam Wang{
54437225120Ssfencevma  val req  = DecoupledIO(new UncacheWordReq)
545cdbff57cSHaoyuan Feng  val resp = Flipped(DecoupledIO(new UncacheWordResp))
5466786cfb7SWilliam Wang}
5476786cfb7SWilliam Wang
548ffd3154dSCharlieLiuclass MainPipeResp(implicit p: Parameters) extends DCacheBundle {
549ffd3154dSCharlieLiu  //distinguish amo
550ffd3154dSCharlieLiu  val source  = UInt(sourceTypeWidth.W)
55162cb71fbShappy-lx  val data    = UInt(DataBits.W)
55262cb71fbShappy-lx  val miss    = Bool()
55362cb71fbShappy-lx  val miss_id = UInt(log2Up(cfg.nMissEntries).W)
55462cb71fbShappy-lx  val replay  = Bool()
55562cb71fbShappy-lx  val error   = Bool()
55662cb71fbShappy-lx
55762cb71fbShappy-lx  val ack_miss_queue = Bool()
55862cb71fbShappy-lx
55962cb71fbShappy-lx  val id     = UInt(reqIdWidth.W)
560ffd3154dSCharlieLiu
561ffd3154dSCharlieLiu  def isAMO: Bool = source === AMO_SOURCE.U
562ffd3154dSCharlieLiu  def isStore: Bool = source === STORE_SOURCE.U
56362cb71fbShappy-lx}
56462cb71fbShappy-lx
5656786cfb7SWilliam Wangclass AtomicWordIO(implicit p: Parameters) extends DCacheBundle
5661f0e2dc7SJiawei Lin{
56762cb71fbShappy-lx  val req  = DecoupledIO(new MainPipeReq)
568ffd3154dSCharlieLiu  val resp = Flipped(ValidIO(new MainPipeResp))
56962cb71fbShappy-lx  val block_lr = Input(Bool())
5701f0e2dc7SJiawei Lin}
5711f0e2dc7SJiawei Lin
5721f0e2dc7SJiawei Lin// used by load unit
5731f0e2dc7SJiawei Linclass DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO
5741f0e2dc7SJiawei Lin{
5751f0e2dc7SJiawei Lin  // kill previous cycle's req
5761f0e2dc7SJiawei Lin  val s1_kill  = Output(Bool())
577b6982e83SLemover  val s2_kill  = Output(Bool())
57804665835SMaxpicca-Li  val s0_pc = Output(UInt(VAddrBits.W))
57904665835SMaxpicca-Li  val s1_pc = Output(UInt(VAddrBits.W))
5802db9ec44SLinJiawei  val s2_pc = Output(UInt(VAddrBits.W))
581b9e121dfShappy-lx  // cycle 0: load has updated replacement before
582b9e121dfShappy-lx  val replacementUpdated = Output(Bool())
5830d32f713Shappy-lx  // cycle 0: prefetch source bits
5840d32f713Shappy-lx  val pf_source = Output(UInt(L1PfSourceBits.W))
585d2945707SHuijin Li  // cycle0: load microop
586d2945707SHuijin Li // val s0_uop = Output(new MicroOp)
5871f0e2dc7SJiawei Lin  // cycle 0: virtual address: req.addr
5881f0e2dc7SJiawei Lin  // cycle 1: physical address: s1_paddr
58903efd994Shappy-lx  val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr
59003efd994Shappy-lx  val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr
5911f0e2dc7SJiawei Lin  val s1_disable_fast_wakeup = Input(Bool())
59203efd994Shappy-lx  // cycle 2: hit signal
59303efd994Shappy-lx  val s2_hit = Input(Bool()) // hit signal for lsu,
594da3bf434SMaxpicca-Li  val s2_first_hit = Input(Bool())
595594c5198Ssfencevma  val s2_bank_conflict = Input(Bool())
59614a67055Ssfencevma  val s2_wpu_pred_fail = Input(Bool())
59714a67055Ssfencevma  val s2_mq_nack = Input(Bool())
59803efd994Shappy-lx
59903efd994Shappy-lx  // debug
60003efd994Shappy-lx  val debug_s1_hit_way = Input(UInt(nWays.W))
60104665835SMaxpicca-Li  val debug_s2_pred_way_num = Input(UInt(XLEN.W))
60204665835SMaxpicca-Li  val debug_s2_dm_way_num = Input(UInt(XLEN.W))
60304665835SMaxpicca-Li  val debug_s2_real_way_num = Input(UInt(XLEN.W))
6041f0e2dc7SJiawei Lin}
6051f0e2dc7SJiawei Lin
6061f0e2dc7SJiawei Linclass DCacheLineIO(implicit p: Parameters) extends DCacheBundle
6071f0e2dc7SJiawei Lin{
6081f0e2dc7SJiawei Lin  val req  = DecoupledIO(new DCacheLineReq)
6091f0e2dc7SJiawei Lin  val resp = Flipped(DecoupledIO(new DCacheLineResp))
6101f0e2dc7SJiawei Lin}
6111f0e2dc7SJiawei Lin
612ad3ba452Szhanglinjuanclass DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle {
613ad3ba452Szhanglinjuan  // sbuffer will directly send request to dcache main pipe
614ad3ba452Szhanglinjuan  val req = Flipped(Decoupled(new DCacheLineReq))
615ad3ba452Szhanglinjuan
616ad3ba452Szhanglinjuan  val main_pipe_hit_resp = ValidIO(new DCacheLineResp)
617ffd3154dSCharlieLiu  //val refill_hit_resp = ValidIO(new DCacheLineResp)
618ad3ba452Szhanglinjuan
619ad3ba452Szhanglinjuan  val replay_resp = ValidIO(new DCacheLineResp)
620ad3ba452Szhanglinjuan
621ffd3154dSCharlieLiu  //def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp)
622ffd3154dSCharlieLiu  def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp)
623ad3ba452Szhanglinjuan}
624ad3ba452Szhanglinjuan
625683c1411Shappy-lx// forward tilelink channel D's data to ldu
626683c1411Shappy-lxclass DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle {
627683c1411Shappy-lx  val valid = Bool()
628683c1411Shappy-lx  val data = UInt(l1BusDataWidth.W)
629683c1411Shappy-lx  val mshrid = UInt(log2Up(cfg.nMissEntries).W)
630683c1411Shappy-lx  val last = Bool()
631683c1411Shappy-lx
632683c1411Shappy-lx  def apply(req_valid : Bool, req_data : UInt, req_mshrid : UInt, req_last : Bool) = {
633683c1411Shappy-lx    valid := req_valid
634683c1411Shappy-lx    data := req_data
635683c1411Shappy-lx    mshrid := req_mshrid
636683c1411Shappy-lx    last := req_last
637683c1411Shappy-lx  }
638683c1411Shappy-lx
639683c1411Shappy-lx  def dontCare() = {
640683c1411Shappy-lx    valid := false.B
641683c1411Shappy-lx    data := DontCare
642683c1411Shappy-lx    mshrid := DontCare
643683c1411Shappy-lx    last := DontCare
644683c1411Shappy-lx  }
645683c1411Shappy-lx
646683c1411Shappy-lx  def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = {
647683c1411Shappy-lx    val all_match = req_valid && valid &&
648683c1411Shappy-lx                req_mshr_id === mshrid &&
649683c1411Shappy-lx                req_paddr(log2Up(refillBytes)) === last
650683c1411Shappy-lx    val forward_D = RegInit(false.B)
651cdbff57cSHaoyuan Feng    val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W))))
652683c1411Shappy-lx
653683c1411Shappy-lx    val block_idx = req_paddr(log2Up(refillBytes) - 1, 3)
654683c1411Shappy-lx    val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W)))
655683c1411Shappy-lx    (0 until l1BusDataWidth / 64).map(i => {
656683c1411Shappy-lx      block_data(i) := data(64 * i + 63, 64 * i)
657683c1411Shappy-lx    })
658cdbff57cSHaoyuan Feng    val selected_data = Wire(UInt(128.W))
659cdbff57cSHaoyuan Feng    selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx)))
660683c1411Shappy-lx
661683c1411Shappy-lx    forward_D := all_match
662cdbff57cSHaoyuan Feng    for (i <- 0 until VLEN/8) {
663683c1411Shappy-lx      forwardData(i) := selected_data(8 * i + 7, 8 * i)
664683c1411Shappy-lx    }
665683c1411Shappy-lx
666683c1411Shappy-lx    (forward_D, forwardData)
667683c1411Shappy-lx  }
668683c1411Shappy-lx}
669683c1411Shappy-lx
670683c1411Shappy-lxclass MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle {
671683c1411Shappy-lx  val inflight = Bool()
672683c1411Shappy-lx  val paddr = UInt(PAddrBits.W)
6739ebbb510Shappy-lx  val raw_data = Vec(blockRows, UInt(rowBits.W))
674683c1411Shappy-lx  val firstbeat_valid = Bool()
675683c1411Shappy-lx  val lastbeat_valid = Bool()
676683c1411Shappy-lx
677683c1411Shappy-lx  def apply(mshr_valid : Bool, mshr_paddr : UInt, mshr_rawdata : Vec[UInt], mshr_first_valid : Bool, mshr_last_valid : Bool) = {
678683c1411Shappy-lx    inflight := mshr_valid
679683c1411Shappy-lx    paddr := mshr_paddr
680683c1411Shappy-lx    raw_data := mshr_rawdata
681683c1411Shappy-lx    firstbeat_valid := mshr_first_valid
682683c1411Shappy-lx    lastbeat_valid := mshr_last_valid
683683c1411Shappy-lx  }
684683c1411Shappy-lx
685683c1411Shappy-lx  // check if we can forward from mshr or D channel
686683c1411Shappy-lx  def check(req_valid : Bool, req_paddr : UInt) = {
687683c1411Shappy-lx    RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits))
688683c1411Shappy-lx  }
689683c1411Shappy-lx
690683c1411Shappy-lx  def forward(req_valid : Bool, req_paddr : UInt) = {
691683c1411Shappy-lx    val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) ||
692683c1411Shappy-lx                    (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid)
693683c1411Shappy-lx
694683c1411Shappy-lx    val forward_mshr = RegInit(false.B)
695cdbff57cSHaoyuan Feng    val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W))))
696683c1411Shappy-lx
6979ebbb510Shappy-lx    val block_idx = req_paddr(log2Up(refillBytes), 3)
6989ebbb510Shappy-lx    val block_data = raw_data
6999ebbb510Shappy-lx
700cdbff57cSHaoyuan Feng    val selected_data = Wire(UInt(128.W))
701cdbff57cSHaoyuan Feng    selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx)))
702683c1411Shappy-lx
703683c1411Shappy-lx    forward_mshr := all_match
704cdbff57cSHaoyuan Feng    for (i <- 0 until VLEN/8) {
705683c1411Shappy-lx      forwardData(i) := selected_data(8 * i + 7, 8 * i)
706683c1411Shappy-lx    }
707683c1411Shappy-lx
708683c1411Shappy-lx    (forward_mshr, forwardData)
709683c1411Shappy-lx  }
710683c1411Shappy-lx}
711683c1411Shappy-lx
712683c1411Shappy-lx// forward mshr's data to ldu
713683c1411Shappy-lxclass LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle {
714683c1411Shappy-lx  // req
715683c1411Shappy-lx  val valid = Input(Bool())
716683c1411Shappy-lx  val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W))
717683c1411Shappy-lx  val paddr = Input(UInt(PAddrBits.W))
718683c1411Shappy-lx  // resp
719683c1411Shappy-lx  val forward_mshr = Output(Bool())
720cdbff57cSHaoyuan Feng  val forwardData = Output(Vec(VLEN/8, UInt(8.W)))
721683c1411Shappy-lx  val forward_result_valid = Output(Bool())
722683c1411Shappy-lx
723683c1411Shappy-lx  def connect(sink: LduToMissqueueForwardIO) = {
724683c1411Shappy-lx    sink.valid := valid
725683c1411Shappy-lx    sink.mshrid := mshrid
726683c1411Shappy-lx    sink.paddr := paddr
727683c1411Shappy-lx    forward_mshr := sink.forward_mshr
728683c1411Shappy-lx    forwardData := sink.forwardData
729683c1411Shappy-lx    forward_result_valid := sink.forward_result_valid
730683c1411Shappy-lx  }
731683c1411Shappy-lx
732683c1411Shappy-lx  def forward() = {
733683c1411Shappy-lx    (forward_result_valid, forward_mshr, forwardData)
734683c1411Shappy-lx  }
735683c1411Shappy-lx}
736683c1411Shappy-lx
7370d32f713Shappy-lxclass StorePrefetchReq(implicit p: Parameters) extends DCacheBundle {
7380d32f713Shappy-lx  val paddr = UInt(PAddrBits.W)
7390d32f713Shappy-lx  val vaddr = UInt(VAddrBits.W)
7400d32f713Shappy-lx}
7410d32f713Shappy-lx
7421f0e2dc7SJiawei Linclass DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle {
74346ba64e8Ssfencevma  val load  = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load
74446ba64e8Ssfencevma  val sta   = Vec(StorePipelineWidth, Flipped(new DCacheStoreIO)) // for non-blocking store
745692e2fafSHuijin Li  //val lsq = ValidIO(new Refill)  // refill to load queue, wake up load misses
7469444e131Ssfencevma  val tl_d_channel = Output(new DcacheToLduForwardIO)
747ad3ba452Szhanglinjuan  val store = new DCacheToSbufferIO // for sbuffer
7486786cfb7SWilliam Wang  val atomics  = Flipped(new AtomicWordIO)  // atomics reqs
74967682d05SWilliam Wang  val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check
750683c1411Shappy-lx  val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO))
751683c1411Shappy-lx  val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO)
7521f0e2dc7SJiawei Lin}
7531f0e2dc7SJiawei Lin
75460ebee38STang Haojinclass DCacheTopDownIO(implicit p: Parameters) extends DCacheBundle {
75560ebee38STang Haojin  val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W)))
75660ebee38STang Haojin  val robHeadMissInDCache = Output(Bool())
75760ebee38STang Haojin  val robHeadOtherReplay = Input(Bool())
75860ebee38STang Haojin}
75960ebee38STang Haojin
7601f0e2dc7SJiawei Linclass DCacheIO(implicit p: Parameters) extends DCacheBundle {
761f57f7f2aSYangyu Chen  val hartId = Input(UInt(hartIdLen.W))
762f1d78cf7SLinJiawei  val l2_pf_store_only = Input(Bool())
7631f0e2dc7SJiawei Lin  val lsu = new DCacheToLsuIO
764e19f7967SWilliam Wang  val csr = new L1CacheToCsrIO
7651f0e2dc7SJiawei Lin  val error = new L1CacheErrorInfo
7661f0e2dc7SJiawei Lin  val mshrFull = Output(Bool())
7670d32f713Shappy-lx  val memSetPattenDetected = Output(Bool())
7680d32f713Shappy-lx  val lqEmpty = Input(Bool())
7690d32f713Shappy-lx  val pf_ctrl = Output(new PrefetchControlBundle)
7702fdb4d6aShappy-lx  val force_write = Input(Bool())
7716005a7e2Shappy-lx  val sms_agt_evict_req = DecoupledIO(new AGTEvictReq)
77260ebee38STang Haojin  val debugTopDown = new DCacheTopDownIO
7737cf78eb2Shappy-lx  val debugRolling = Flipped(new RobDebugRollingIO)
774ffd3154dSCharlieLiu  val l2_hint = Input(Valid(new L2ToL1Hint()))
7751f0e2dc7SJiawei Lin}
7761f0e2dc7SJiawei Lin
7771f0e2dc7SJiawei Linclass DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters {
77895e60e55STang Haojin  override def shouldBeInlined: Boolean = false
7791f0e2dc7SJiawei Lin
780ffc9de54Swakafa  val reqFields: Seq[BundleFieldBase] = Seq(
781ffc9de54Swakafa    PrefetchField(),
782ffc9de54Swakafa    ReqSourceField(),
783ffc9de54Swakafa    VaddrField(VAddrBits - blockOffBits),
784d2945707SHuijin Li  //  IsKeywordField()
785ffc9de54Swakafa  ) ++ cacheParams.aliasBitsOpt.map(AliasField)
786d2945707SHuijin Li  val echoFields: Seq[BundleFieldBase] = Seq(
787d2945707SHuijin Li    IsKeywordField()
788d2945707SHuijin Li  )
789ffc9de54Swakafa
7901f0e2dc7SJiawei Lin  val clientParameters = TLMasterPortParameters.v1(
7911f0e2dc7SJiawei Lin    Seq(TLMasterParameters.v1(
7921f0e2dc7SJiawei Lin      name = "dcache",
793ad3ba452Szhanglinjuan      sourceId = IdRange(0, nEntries + 1),
7941f0e2dc7SJiawei Lin      supportsProbe = TransferSizes(cfg.blockBytes)
7951f0e2dc7SJiawei Lin    )),
796ffc9de54Swakafa    requestFields = reqFields,
797ffc9de54Swakafa    echoFields = echoFields
7981f0e2dc7SJiawei Lin  )
7991f0e2dc7SJiawei Lin
8001f0e2dc7SJiawei Lin  val clientNode = TLClientNode(Seq(clientParameters))
8011f0e2dc7SJiawei Lin
8021f0e2dc7SJiawei Lin  lazy val module = new DCacheImp(this)
8031f0e2dc7SJiawei Lin}
8041f0e2dc7SJiawei Lin
8051f0e2dc7SJiawei Lin
8060d32f713Shappy-lxclass DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents with HasL1PrefetchSourceParameter {
8071f0e2dc7SJiawei Lin
8081f0e2dc7SJiawei Lin  val io = IO(new DCacheIO)
8091f0e2dc7SJiawei Lin
8101f0e2dc7SJiawei Lin  val (bus, edge) = outer.clientNode.out.head
8111f0e2dc7SJiawei Lin  require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match")
8121f0e2dc7SJiawei Lin
8131f0e2dc7SJiawei Lin  println("DCache:")
8141f0e2dc7SJiawei Lin  println("  DCacheSets: " + DCacheSets)
8153eeae490SMaxpicca-Li  println("  DCacheSetDiv: " + DCacheSetDiv)
8161f0e2dc7SJiawei Lin  println("  DCacheWays: " + DCacheWays)
8171f0e2dc7SJiawei Lin  println("  DCacheBanks: " + DCacheBanks)
8181f0e2dc7SJiawei Lin  println("  DCacheSRAMRowBits: " + DCacheSRAMRowBits)
8191f0e2dc7SJiawei Lin  println("  DCacheWordOffset: " + DCacheWordOffset)
8201f0e2dc7SJiawei Lin  println("  DCacheBankOffset: " + DCacheBankOffset)
8211f0e2dc7SJiawei Lin  println("  DCacheSetOffset: " + DCacheSetOffset)
8221f0e2dc7SJiawei Lin  println("  DCacheTagOffset: " + DCacheTagOffset)
8231f0e2dc7SJiawei Lin  println("  DCacheAboveIndexOffset: " + DCacheAboveIndexOffset)
8240d32f713Shappy-lx  println("  DcacheMaxPrefetchEntry: " + MaxPrefetchEntry)
82504665835SMaxpicca-Li  println("  WPUEnable: " + dwpuParam.enWPU)
82604665835SMaxpicca-Li  println("  WPUEnableCfPred: " + dwpuParam.enCfPred)
82704665835SMaxpicca-Li  println("  WPUAlgorithm: " + dwpuParam.algoName)
8281f0e2dc7SJiawei Lin
8290d32f713Shappy-lx  // Enable L1 Store prefetch
8300d32f713Shappy-lx  val StorePrefetchL1Enabled = EnableStorePrefetchAtCommit || EnableStorePrefetchAtIssue || EnableStorePrefetchSPB
83146ba64e8Ssfencevma  val MetaReadPort =
83246ba64e8Ssfencevma        if (StorePrefetchL1Enabled)
83346ba64e8Ssfencevma          1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt
83446ba64e8Ssfencevma        else
83546ba64e8Ssfencevma          1 + backendParams.LduCnt + backendParams.HyuCnt
83646ba64e8Ssfencevma  val TagReadPort =
83746ba64e8Ssfencevma        if (StorePrefetchL1Enabled)
83846ba64e8Ssfencevma          1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt
83946ba64e8Ssfencevma        else
84046ba64e8Ssfencevma          1 + backendParams.LduCnt + backendParams.HyuCnt
8410d32f713Shappy-lx
8420d32f713Shappy-lx  // Enable L1 Load prefetch
8430d32f713Shappy-lx  val LoadPrefetchL1Enabled = true
8440d32f713Shappy-lx  val AccessArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1
8450d32f713Shappy-lx  val PrefetchArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1
8460d32f713Shappy-lx
8471f0e2dc7SJiawei Lin  //----------------------------------------
8481f0e2dc7SJiawei Lin  // core data structures
84904665835SMaxpicca-Li  val bankedDataArray = if(dwpuParam.enWPU) Module(new SramedDataArray) else Module(new BankedDataArray)
850ffd3154dSCharlieLiu  val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1))
851ffd3154dSCharlieLiu  val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1))
852ffd3154dSCharlieLiu  val prefetchArray = Module(new L1PrefetchSourceArray(readPorts = PrefetchArrayReadPort, writePorts = 1 + LoadPipelineWidth)) // prefetch flag array
853ffd3154dSCharlieLiu  val accessArray = Module(new L1FlagMetaArray(readPorts = AccessArrayReadPort, writePorts = LoadPipelineWidth + 1))
8540d32f713Shappy-lx  val tagArray = Module(new DuplicatedTagArray(readPorts = TagReadPort))
8550d32f713Shappy-lx  val prefetcherMonitor = Module(new PrefetcherMonitor)
8560d32f713Shappy-lx  val fdpMonitor =  Module(new FDPrefetcherMonitor)
8570d32f713Shappy-lx  val bloomFilter =  Module(new BloomFilter(BLOOM_FILTER_ENTRY_NUM, true))
8580d32f713Shappy-lx  val counterFilter = Module(new CounterFilter)
8591f0e2dc7SJiawei Lin  bankedDataArray.dump()
8601f0e2dc7SJiawei Lin
8611f0e2dc7SJiawei Lin  //----------------------------------------
8621f0e2dc7SJiawei Lin  // core modules
86346ba64e8Ssfencevma  val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))})
86446ba64e8Ssfencevma  val stu = Seq.tabulate(StorePipelineWidth)({ i => Module(new StorePipe(i))})
8651f0e2dc7SJiawei Lin  val mainPipe     = Module(new MainPipe)
866ffd3154dSCharlieLiu  // val refillPipe   = Module(new RefillPipe)
8671f0e2dc7SJiawei Lin  val missQueue    = Module(new MissQueue(edge))
8681f0e2dc7SJiawei Lin  val probeQueue   = Module(new ProbeQueue(edge))
8691f0e2dc7SJiawei Lin  val wb           = Module(new WritebackQueue(edge))
8701f0e2dc7SJiawei Lin
8710d32f713Shappy-lx  missQueue.io.lqEmpty := io.lqEmpty
8725668a921SJiawei Lin  missQueue.io.hartId := io.hartId
873f1d78cf7SLinJiawei  missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B)
87460ebee38STang Haojin  missQueue.io.debugTopDown <> io.debugTopDown
875ffd3154dSCharlieLiu  missQueue.io.l2_hint <> RegNext(io.l2_hint)
876ffd3154dSCharlieLiu  missQueue.io.mainpipe_info := mainPipe.io.mainpipe_info
877ffd3154dSCharlieLiu  mainPipe.io.refill_info := missQueue.io.refill_info
878ffd3154dSCharlieLiu  mainPipe.io.sms_agt_evict_req <> io.sms_agt_evict_req
8790d32f713Shappy-lx  io.memSetPattenDetected := missQueue.io.memSetPattenDetected
8805668a921SJiawei Lin
8819ef181f4SWilliam Wang  val errors = ldu.map(_.io.error) ++ // load error
8829ef181f4SWilliam Wang    Seq(mainPipe.io.error) // store / misc error
8836786cfb7SWilliam Wang  io.error <> RegNext(Mux1H(errors.map(e => RegNext(e.valid) -> RegNext(e))))
884dd95524eSzhanglinjuan
8851f0e2dc7SJiawei Lin  //----------------------------------------
8861f0e2dc7SJiawei Lin  // meta array
88746ba64e8Ssfencevma  val HybridLoadReadBase = LoadPipelineWidth - backendParams.HyuCnt
88846ba64e8Ssfencevma  val HybridStoreReadBase = StorePipelineWidth - backendParams.HyuCnt
88946ba64e8Ssfencevma
89046ba64e8Ssfencevma  val hybrid_meta_read_ports = Wire(Vec(backendParams.HyuCnt, DecoupledIO(new MetaReadReq)))
89146ba64e8Ssfencevma  val hybrid_meta_resp_ports = Wire(Vec(backendParams.HyuCnt, ldu(0).io.meta_resp.cloneType))
89246ba64e8Ssfencevma  for (i <- 0 until backendParams.HyuCnt) {
89346ba64e8Ssfencevma    val HybridLoadMetaReadPort = HybridLoadReadBase + i
89446ba64e8Ssfencevma    val HybridStoreMetaReadPort = HybridStoreReadBase + i
89546ba64e8Ssfencevma
89646ba64e8Ssfencevma    hybrid_meta_read_ports(i).valid := ldu(HybridLoadMetaReadPort).io.meta_read.valid ||
89746ba64e8Ssfencevma                                       (stu(HybridStoreMetaReadPort).io.meta_read.valid && StorePrefetchL1Enabled.B)
89846ba64e8Ssfencevma    hybrid_meta_read_ports(i).bits := Mux(ldu(HybridLoadMetaReadPort).io.meta_read.valid, ldu(HybridLoadMetaReadPort).io.meta_read.bits,
89946ba64e8Ssfencevma                                          stu(HybridStoreMetaReadPort).io.meta_read.bits)
90046ba64e8Ssfencevma
90146ba64e8Ssfencevma    ldu(HybridLoadMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready
90246ba64e8Ssfencevma    stu(HybridStoreMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready && StorePrefetchL1Enabled.B
90346ba64e8Ssfencevma
90446ba64e8Ssfencevma    ldu(HybridLoadMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i)
90546ba64e8Ssfencevma    stu(HybridStoreMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i)
90646ba64e8Ssfencevma  }
9073af6aa6eSWilliam Wang
9083af6aa6eSWilliam Wang  // read / write coh meta
90946ba64e8Ssfencevma  val meta_read_ports = ldu.map(_.io.meta_read).take(HybridLoadReadBase) ++
9100d32f713Shappy-lx    Seq(mainPipe.io.meta_read) ++
91146ba64e8Ssfencevma    stu.map(_.io.meta_read).take(HybridStoreReadBase) ++ hybrid_meta_read_ports
9120d32f713Shappy-lx
91346ba64e8Ssfencevma  val meta_resp_ports = ldu.map(_.io.meta_resp).take(HybridLoadReadBase) ++
9140d32f713Shappy-lx    Seq(mainPipe.io.meta_resp) ++
91546ba64e8Ssfencevma    stu.map(_.io.meta_resp).take(HybridStoreReadBase) ++ hybrid_meta_resp_ports
9160d32f713Shappy-lx
917ad3ba452Szhanglinjuan  val meta_write_ports = Seq(
918ffd3154dSCharlieLiu    mainPipe.io.meta_write
919ffd3154dSCharlieLiu    // refillPipe.io.meta_write
920ad3ba452Szhanglinjuan  )
9210d32f713Shappy-lx  if(StorePrefetchL1Enabled) {
922ad3ba452Szhanglinjuan    meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p }
923ad3ba452Szhanglinjuan    meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r }
9240d32f713Shappy-lx  } else {
92546ba64e8Ssfencevma    (meta_read_ports.take(HybridLoadReadBase + 1) ++
92646ba64e8Ssfencevma     meta_read_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.read).foreach { case (p, r) => r <> p }
92746ba64e8Ssfencevma    (meta_resp_ports.take(HybridLoadReadBase + 1) ++
92846ba64e8Ssfencevma     meta_resp_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.resp).foreach { case (p, r) => p := r }
9290d32f713Shappy-lx
93046ba64e8Ssfencevma    meta_read_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p.ready := false.B }
93146ba64e8Ssfencevma    meta_resp_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p := 0.U.asTypeOf(p) }
9320d32f713Shappy-lx  }
933ad3ba452Szhanglinjuan  meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p }
9341f0e2dc7SJiawei Lin
9350d32f713Shappy-lx  // read extra meta (exclude stu)
93646ba64e8Ssfencevma  (meta_read_ports.take(HybridLoadReadBase + 1) ++
93746ba64e8Ssfencevma   meta_read_ports.takeRight(backendParams.HyuCnt)).zip(errorArray.io.read).foreach { case (p, r) => r <> p }
93846ba64e8Ssfencevma  (meta_read_ports.take(HybridLoadReadBase + 1) ++
93946ba64e8Ssfencevma   meta_read_ports.takeRight(backendParams.HyuCnt)).zip(prefetchArray.io.read).foreach { case (p, r) => r <> p }
94046ba64e8Ssfencevma  (meta_read_ports.take(HybridLoadReadBase + 1) ++
94146ba64e8Ssfencevma   meta_read_ports.takeRight(backendParams.HyuCnt)).zip(accessArray.io.read).foreach { case (p, r) => r <> p }
9425d9979bdSsfencevma  val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp).take(HybridLoadReadBase) ++
9435d9979bdSsfencevma    Seq(mainPipe.io.extra_meta_resp) ++
9445d9979bdSsfencevma    ldu.map(_.io.extra_meta_resp).takeRight(backendParams.HyuCnt)
9453af6aa6eSWilliam Wang  extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => {
9463af6aa6eSWilliam Wang    (0 until nWays).map(i => { p(i).error := r(i) })
9473af6aa6eSWilliam Wang  }}
9483af6aa6eSWilliam Wang  extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => {
9493af6aa6eSWilliam Wang    (0 until nWays).map(i => { p(i).prefetch := r(i) })
9503af6aa6eSWilliam Wang  }}
9513af6aa6eSWilliam Wang  extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => {
9523af6aa6eSWilliam Wang    (0 until nWays).map(i => { p(i).access := r(i) })
9533af6aa6eSWilliam Wang  }}
9543af6aa6eSWilliam Wang
9550d32f713Shappy-lx  if(LoadPrefetchL1Enabled) {
9560d32f713Shappy-lx    // use last port to read prefetch and access flag
957ffd3154dSCharlieLiu//    prefetchArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid
958ffd3154dSCharlieLiu//    prefetchArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx
959ffd3154dSCharlieLiu//    prefetchArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en
960ffd3154dSCharlieLiu//
961ffd3154dSCharlieLiu//    accessArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid
962ffd3154dSCharlieLiu//    accessArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx
963ffd3154dSCharlieLiu//    accessArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en
964ffd3154dSCharlieLiu    prefetchArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid
965ffd3154dSCharlieLiu    prefetchArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx
966ffd3154dSCharlieLiu    prefetchArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en
9670d32f713Shappy-lx
968ffd3154dSCharlieLiu    accessArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid
969ffd3154dSCharlieLiu    accessArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx
970ffd3154dSCharlieLiu    accessArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en
9710d32f713Shappy-lx
972ffd3154dSCharlieLiu    val extra_flag_valid = RegNext(mainPipe.io.prefetch_flag_write.valid)
973ffd3154dSCharlieLiu    val extra_flag_way_en = RegEnable(mainPipe.io.prefetch_flag_write.bits.way_en, mainPipe.io.prefetch_flag_write.valid)
9740d32f713Shappy-lx    val extra_flag_prefetch = Mux1H(extra_flag_way_en, prefetchArray.io.resp.last)
9750d32f713Shappy-lx    val extra_flag_access = Mux1H(extra_flag_way_en, accessArray.io.resp.last)
9760d32f713Shappy-lx
9770d32f713Shappy-lx    prefetcherMonitor.io.validity.good_prefetch := extra_flag_valid && isFromL1Prefetch(extra_flag_prefetch) && extra_flag_access
9780d32f713Shappy-lx    prefetcherMonitor.io.validity.bad_prefetch := extra_flag_valid && isFromL1Prefetch(extra_flag_prefetch) && !extra_flag_access
9790d32f713Shappy-lx  }
9800d32f713Shappy-lx
9813af6aa6eSWilliam Wang  // write extra meta
9823af6aa6eSWilliam Wang  val error_flag_write_ports = Seq(
983ffd3154dSCharlieLiu    mainPipe.io.error_flag_write // error flag generated by corrupted store
984ffd3154dSCharlieLiu    // refillPipe.io.error_flag_write // corrupted signal from l2
9853af6aa6eSWilliam Wang  )
986026615fcSWilliam Wang  error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p }
987026615fcSWilliam Wang
9880d32f713Shappy-lx  val prefetch_flag_write_ports = ldu.map(_.io.prefetch_flag_write) ++ Seq(
989ffd3154dSCharlieLiu    mainPipe.io.prefetch_flag_write // set prefetch_flag to false if coh is set to Nothing
990ffd3154dSCharlieLiu    // refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag
9913af6aa6eSWilliam Wang  )
9923af6aa6eSWilliam Wang  prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p }
9933af6aa6eSWilliam Wang
99446ba64e8Ssfencevma  // FIXME: add hybrid unit?
9950d32f713Shappy-lx  val same_cycle_update_pf_flag = ldu(0).io.prefetch_flag_write.valid && ldu(1).io.prefetch_flag_write.valid && (ldu(0).io.prefetch_flag_write.bits.idx === ldu(1).io.prefetch_flag_write.bits.idx) && (ldu(0).io.prefetch_flag_write.bits.way_en === ldu(1).io.prefetch_flag_write.bits.way_en)
9960d32f713Shappy-lx  XSPerfAccumulate("same_cycle_update_pf_flag", same_cycle_update_pf_flag)
9970d32f713Shappy-lx
9983af6aa6eSWilliam Wang  val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq(
999ffd3154dSCharlieLiu    mainPipe.io.access_flag_write
1000ffd3154dSCharlieLiu    // refillPipe.io.access_flag_write
10013af6aa6eSWilliam Wang  )
10023af6aa6eSWilliam Wang  access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p }
10033af6aa6eSWilliam Wang
1004ad3ba452Szhanglinjuan  //----------------------------------------
1005ad3ba452Szhanglinjuan  // tag array
10060d32f713Shappy-lx  if(StorePrefetchL1Enabled) {
100746ba64e8Ssfencevma    require(tagArray.io.read.size == (LoadPipelineWidth + StorePipelineWidth - backendParams.HyuCnt + 1))
10080d32f713Shappy-lx  }else {
100946ba64e8Ssfencevma    require(tagArray.io.read.size == (LoadPipelineWidth + 1))
10100d32f713Shappy-lx  }
1011ffd3154dSCharlieLiu  // val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend
1012ffd3154dSCharlieLiu  val tag_write_intend = mainPipe.io.tag_write_intend
101309ae47d2SWilliam Wang  assert(!RegNext(!tag_write_intend && tagArray.io.write.valid))
101446ba64e8Ssfencevma  ldu.take(HybridLoadReadBase).zipWithIndex.foreach {
1015ad3ba452Szhanglinjuan    case (ld, i) =>
1016ad3ba452Szhanglinjuan      tagArray.io.read(i) <> ld.io.tag_read
1017ad3ba452Szhanglinjuan      ld.io.tag_resp := tagArray.io.resp(i)
101809ae47d2SWilliam Wang      ld.io.tag_read.ready := !tag_write_intend
10191f0e2dc7SJiawei Lin  }
10200d32f713Shappy-lx  if(StorePrefetchL1Enabled) {
102146ba64e8Ssfencevma    stu.take(HybridStoreReadBase).zipWithIndex.foreach {
10220d32f713Shappy-lx      case (st, i) =>
102346ba64e8Ssfencevma        tagArray.io.read(HybridLoadReadBase + i) <> st.io.tag_read
102446ba64e8Ssfencevma        st.io.tag_resp := tagArray.io.resp(HybridLoadReadBase + i)
10250d32f713Shappy-lx        st.io.tag_read.ready := !tag_write_intend
10260d32f713Shappy-lx    }
10270d32f713Shappy-lx  }else {
10280d32f713Shappy-lx    stu.foreach {
10290d32f713Shappy-lx      case st =>
10300d32f713Shappy-lx        st.io.tag_read.ready := false.B
10310d32f713Shappy-lx        st.io.tag_resp := 0.U.asTypeOf(st.io.tag_resp)
10320d32f713Shappy-lx    }
10330d32f713Shappy-lx  }
103446ba64e8Ssfencevma  for (i <- 0 until backendParams.HyuCnt) {
103546ba64e8Ssfencevma    val HybridLoadTagReadPort = HybridLoadReadBase + i
103646ba64e8Ssfencevma    val HybridStoreTagReadPort = HybridStoreReadBase + i
103746ba64e8Ssfencevma    val TagReadPort =
103846ba64e8Ssfencevma      if (EnableStorePrefetchSPB)
103946ba64e8Ssfencevma        HybridLoadReadBase + HybridStoreReadBase + i
104046ba64e8Ssfencevma      else
104146ba64e8Ssfencevma        HybridLoadReadBase + i
104246ba64e8Ssfencevma
104346ba64e8Ssfencevma    // read tag
104446ba64e8Ssfencevma    ldu(HybridLoadTagReadPort).io.tag_read.ready := false.B
104546ba64e8Ssfencevma    stu(HybridStoreTagReadPort).io.tag_read.ready := false.B
104646ba64e8Ssfencevma
104746ba64e8Ssfencevma    if (StorePrefetchL1Enabled) {
104846ba64e8Ssfencevma      when (ldu(HybridLoadTagReadPort).io.tag_read.valid) {
104946ba64e8Ssfencevma        tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read
105046ba64e8Ssfencevma        ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend
105146ba64e8Ssfencevma      } .otherwise {
105246ba64e8Ssfencevma        tagArray.io.read(TagReadPort) <> stu(HybridStoreTagReadPort).io.tag_read
105346ba64e8Ssfencevma        stu(HybridStoreTagReadPort).io.tag_read.ready := !tag_write_intend
105446ba64e8Ssfencevma      }
105546ba64e8Ssfencevma    } else {
105646ba64e8Ssfencevma      tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read
105746ba64e8Ssfencevma      ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend
105846ba64e8Ssfencevma    }
105946ba64e8Ssfencevma
106046ba64e8Ssfencevma    // tag resp
106146ba64e8Ssfencevma    ldu(HybridLoadTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort)
106246ba64e8Ssfencevma    stu(HybridStoreTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort)
106346ba64e8Ssfencevma  }
1064ad3ba452Szhanglinjuan  tagArray.io.read.last <> mainPipe.io.tag_read
1065ad3ba452Szhanglinjuan  mainPipe.io.tag_resp := tagArray.io.resp.last
1066ad3ba452Szhanglinjuan
106709ae47d2SWilliam Wang  val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid))
106809ae47d2SWilliam Wang  XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle)
106909ae47d2SWilliam Wang
1070ffd3154dSCharlieLiu  val tag_write_arb = Module(new Arbiter(new TagWriteReq, 1))
1071ffd3154dSCharlieLiu  // tag_write_arb.io.in(0) <> refillPipe.io.tag_write
1072ffd3154dSCharlieLiu  tag_write_arb.io.in(0) <> mainPipe.io.tag_write
1073ad3ba452Szhanglinjuan  tagArray.io.write <> tag_write_arb.io.out
10741f0e2dc7SJiawei Lin
107504665835SMaxpicca-Li  ldu.map(m => {
107604665835SMaxpicca-Li    m.io.vtag_update.valid := tagArray.io.write.valid
107704665835SMaxpicca-Li    m.io.vtag_update.bits := tagArray.io.write.bits
107804665835SMaxpicca-Li  })
107904665835SMaxpicca-Li
10801f0e2dc7SJiawei Lin  //----------------------------------------
10811f0e2dc7SJiawei Lin  // data array
1082d2b20d1aSTang Haojin  mainPipe.io.data_read.zip(ldu).map(x => x._1 := x._2.io.lsu.req.valid)
10831f0e2dc7SJiawei Lin
1084ffd3154dSCharlieLiu  val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 1))
1085ffd3154dSCharlieLiu  // dataWriteArb.io.in(0) <> refillPipe.io.data_write
1086ffd3154dSCharlieLiu  dataWriteArb.io.in(0) <> mainPipe.io.data_write
1087ad3ba452Szhanglinjuan
1088ad3ba452Szhanglinjuan  bankedDataArray.io.write <> dataWriteArb.io.out
10891f0e2dc7SJiawei Lin
10906c7e5e86Szhanglinjuan  for (bank <- 0 until DCacheBanks) {
1091ffd3154dSCharlieLiu    val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 1))
1092ffd3154dSCharlieLiu    // dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid
1093ffd3154dSCharlieLiu    // dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits
1094ffd3154dSCharlieLiu    dataWriteArb_dup.io.in(0).valid := mainPipe.io.data_write_dup(bank).valid
1095ffd3154dSCharlieLiu    dataWriteArb_dup.io.in(0).bits := mainPipe.io.data_write_dup(bank).bits
10966c7e5e86Szhanglinjuan
10976c7e5e86Szhanglinjuan    bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out
10986c7e5e86Szhanglinjuan  }
10996c7e5e86Szhanglinjuan
1100d2b20d1aSTang Haojin  bankedDataArray.io.readline <> mainPipe.io.data_readline
11017a5caa97Szhanglinjuan  bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend
11026786cfb7SWilliam Wang  mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed
1103144422dcSMaxpicca-Li  mainPipe.io.data_resp := bankedDataArray.io.readline_resp
11041f0e2dc7SJiawei Lin
11059ef181f4SWilliam Wang  (0 until LoadPipelineWidth).map(i => {
11069ef181f4SWilliam Wang    bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read
1107cdbff57cSHaoyuan Feng    bankedDataArray.io.is128Req(i) <> ldu(i).io.is128Req
11086786cfb7SWilliam Wang    bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed
11099ef181f4SWilliam Wang
1110144422dcSMaxpicca-Li    ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp_delayed(i)
1111144422dcSMaxpicca-Li
11129ef181f4SWilliam Wang    ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i)
11139ef181f4SWilliam Wang  })
1114d2945707SHuijin Li val isKeyword = bus.d.bits.echo.lift(IsKeywordKey).getOrElse(false.B)
1115774f100aSWilliam Wang  (0 until LoadPipelineWidth).map(i => {
1116683c1411Shappy-lx    val (_, _, done, _) = edge.count(bus.d)
1117683c1411Shappy-lx    when(bus.d.bits.opcode === TLMessages.GrantData) {
1118d2945707SHuijin Li      io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, isKeyword ^ done)
1119d2945707SHuijin Li   //   io.lsu.forward_D(i).apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source,done)
1120683c1411Shappy-lx    }.otherwise {
1121683c1411Shappy-lx      io.lsu.forward_D(i).dontCare()
1122683c1411Shappy-lx    }
1123683c1411Shappy-lx  })
11249444e131Ssfencevma  // tl D channel wakeup
11259444e131Ssfencevma  val (_, _, done, _) = edge.count(bus.d)
11269444e131Ssfencevma  when (bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.Grant) {
11279444e131Ssfencevma    io.lsu.tl_d_channel.apply(bus.d.valid, bus.d.bits.data, bus.d.bits.source, done)
11289444e131Ssfencevma  } .otherwise {
11299444e131Ssfencevma    io.lsu.tl_d_channel.dontCare()
11309444e131Ssfencevma  }
11312fdb4d6aShappy-lx  mainPipe.io.force_write <> io.force_write
1132683c1411Shappy-lx
113304665835SMaxpicca-Li  /** dwpu */
113404665835SMaxpicca-Li  val dwpu = Module(new DCacheWpuWrapper(LoadPipelineWidth))
113504665835SMaxpicca-Li  for(i <- 0 until LoadPipelineWidth){
113604665835SMaxpicca-Li    dwpu.io.req(i) <> ldu(i).io.dwpu.req(0)
113704665835SMaxpicca-Li    dwpu.io.resp(i) <> ldu(i).io.dwpu.resp(0)
113804665835SMaxpicca-Li    dwpu.io.lookup_upd(i) <> ldu(i).io.dwpu.lookup_upd(0)
113904665835SMaxpicca-Li    dwpu.io.cfpred(i) <> ldu(i).io.dwpu.cfpred(0)
114004665835SMaxpicca-Li  }
114104665835SMaxpicca-Li  dwpu.io.tagwrite_upd.valid := tagArray.io.write.valid
114204665835SMaxpicca-Li  dwpu.io.tagwrite_upd.bits.vaddr := tagArray.io.write.bits.vaddr
114304665835SMaxpicca-Li  dwpu.io.tagwrite_upd.bits.s1_real_way_en := tagArray.io.write.bits.way_en
114404665835SMaxpicca-Li
11451f0e2dc7SJiawei Lin  //----------------------------------------
11461f0e2dc7SJiawei Lin  // load pipe
11471f0e2dc7SJiawei Lin  // the s1 kill signal
11481f0e2dc7SJiawei Lin  // only lsu uses this, replay never kills
11491f0e2dc7SJiawei Lin  for (w <- 0 until LoadPipelineWidth) {
11501f0e2dc7SJiawei Lin    ldu(w).io.lsu <> io.lsu.load(w)
11511f0e2dc7SJiawei Lin
1152cdbff57cSHaoyuan Feng    // TODO:when have load128Req
1153cdbff57cSHaoyuan Feng    ldu(w).io.load128Req := false.B
1154cdbff57cSHaoyuan Feng
11551f0e2dc7SJiawei Lin    // replay and nack not needed anymore
11561f0e2dc7SJiawei Lin    // TODO: remove replay and nack
11571f0e2dc7SJiawei Lin    ldu(w).io.nack := false.B
11581f0e2dc7SJiawei Lin
11591f0e2dc7SJiawei Lin    ldu(w).io.disable_ld_fast_wakeup :=
11607a5caa97Szhanglinjuan      bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict
11611f0e2dc7SJiawei Lin  }
11621f0e2dc7SJiawei Lin
11630d32f713Shappy-lx  prefetcherMonitor.io.timely.total_prefetch := ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _)
11640d32f713Shappy-lx  prefetcherMonitor.io.timely.late_hit_prefetch := ldu.map(_.io.prefetch_info.naive.late_hit_prefetch).reduce(_ || _)
11650d32f713Shappy-lx  prefetcherMonitor.io.timely.late_miss_prefetch := missQueue.io.prefetch_info.naive.late_miss_prefetch
11660d32f713Shappy-lx  prefetcherMonitor.io.timely.prefetch_hit := PopCount(ldu.map(_.io.prefetch_info.naive.prefetch_hit))
11670d32f713Shappy-lx  io.pf_ctrl <> prefetcherMonitor.io.pf_ctrl
11680d32f713Shappy-lx  XSPerfAccumulate("useless_prefetch", ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) && !(ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _)))
11690d32f713Shappy-lx  XSPerfAccumulate("useful_prefetch", ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _))
11700d32f713Shappy-lx  XSPerfAccumulate("late_prefetch_hit", ldu.map(_.io.prefetch_info.naive.late_prefetch_hit).reduce(_ || _))
11710d32f713Shappy-lx  XSPerfAccumulate("late_load_hit", ldu.map(_.io.prefetch_info.naive.late_load_hit).reduce(_ || _))
11720d32f713Shappy-lx
1173da3bf434SMaxpicca-Li  /** LoadMissDB: record load miss state */
1174da3bf434SMaxpicca-Li  val isWriteLoadMissTable = WireInit(Constantin.createRecord("isWriteLoadMissTable" + p(XSCoreParamsKey).HartId.toString))
1175da3bf434SMaxpicca-Li  val isFirstHitWrite = WireInit(Constantin.createRecord("isFirstHitWrite" + p(XSCoreParamsKey).HartId.toString))
1176da3bf434SMaxpicca-Li  val tableName = "LoadMissDB" + p(XSCoreParamsKey).HartId.toString
1177da3bf434SMaxpicca-Li  val siteName = "DcacheWrapper" + p(XSCoreParamsKey).HartId.toString
1178da3bf434SMaxpicca-Li  val loadMissTable = ChiselDB.createTable(tableName, new LoadMissEntry)
1179da3bf434SMaxpicca-Li  for( i <- 0 until LoadPipelineWidth){
1180da3bf434SMaxpicca-Li    val loadMissEntry = Wire(new LoadMissEntry)
1181da3bf434SMaxpicca-Li    val loadMissWriteEn =
1182da3bf434SMaxpicca-Li      (!ldu(i).io.lsu.resp.bits.replay && ldu(i).io.miss_req.fire) ||
1183da3bf434SMaxpicca-Li      (ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid && isFirstHitWrite.orR)
1184da3bf434SMaxpicca-Li    loadMissEntry.timeCnt := GTimer()
1185da3bf434SMaxpicca-Li    loadMissEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx
1186da3bf434SMaxpicca-Li    loadMissEntry.paddr := ldu(i).io.miss_req.bits.addr
1187da3bf434SMaxpicca-Li    loadMissEntry.vaddr := ldu(i).io.miss_req.bits.vaddr
1188da3bf434SMaxpicca-Li    loadMissEntry.missState := OHToUInt(Cat(Seq(
1189da3bf434SMaxpicca-Li      ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged,
1190da3bf434SMaxpicca-Li      ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged,
1191da3bf434SMaxpicca-Li      ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid
1192da3bf434SMaxpicca-Li    )))
1193da3bf434SMaxpicca-Li    loadMissTable.log(
1194da3bf434SMaxpicca-Li      data = loadMissEntry,
1195da3bf434SMaxpicca-Li      en = isWriteLoadMissTable.orR && loadMissWriteEn,
1196da3bf434SMaxpicca-Li      site = siteName,
1197da3bf434SMaxpicca-Li      clock = clock,
1198da3bf434SMaxpicca-Li      reset = reset
1199da3bf434SMaxpicca-Li    )
1200da3bf434SMaxpicca-Li  }
1201da3bf434SMaxpicca-Li
120204665835SMaxpicca-Li  val isWriteLoadAccessTable = WireInit(Constantin.createRecord("isWriteLoadAccessTable" + p(XSCoreParamsKey).HartId.toString))
120304665835SMaxpicca-Li  val loadAccessTable = ChiselDB.createTable("LoadAccessDB" + p(XSCoreParamsKey).HartId.toString, new LoadAccessEntry)
120404665835SMaxpicca-Li  for (i <- 0 until LoadPipelineWidth) {
120504665835SMaxpicca-Li    val loadAccessEntry = Wire(new LoadAccessEntry)
120604665835SMaxpicca-Li    loadAccessEntry.timeCnt := GTimer()
120704665835SMaxpicca-Li    loadAccessEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx
120804665835SMaxpicca-Li    loadAccessEntry.paddr := ldu(i).io.miss_req.bits.addr
120904665835SMaxpicca-Li    loadAccessEntry.vaddr := ldu(i).io.miss_req.bits.vaddr
121004665835SMaxpicca-Li    loadAccessEntry.missState := OHToUInt(Cat(Seq(
121104665835SMaxpicca-Li      ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged,
121204665835SMaxpicca-Li      ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged,
121304665835SMaxpicca-Li      ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid
121404665835SMaxpicca-Li    )))
121504665835SMaxpicca-Li    loadAccessEntry.pred_way_num := ldu(i).io.lsu.debug_s2_pred_way_num
121604665835SMaxpicca-Li    loadAccessEntry.real_way_num := ldu(i).io.lsu.debug_s2_real_way_num
121704665835SMaxpicca-Li    loadAccessEntry.dm_way_num := ldu(i).io.lsu.debug_s2_dm_way_num
121804665835SMaxpicca-Li    loadAccessTable.log(
121904665835SMaxpicca-Li      data = loadAccessEntry,
122004665835SMaxpicca-Li      en = isWriteLoadAccessTable.orR && ldu(i).io.lsu.resp.valid,
122104665835SMaxpicca-Li      site = siteName + "_loadpipe" + i.toString,
122204665835SMaxpicca-Li      clock = clock,
122304665835SMaxpicca-Li      reset = reset
122404665835SMaxpicca-Li    )
122504665835SMaxpicca-Li  }
122604665835SMaxpicca-Li
12271f0e2dc7SJiawei Lin  //----------------------------------------
12280d32f713Shappy-lx  // Sta pipe
122946ba64e8Ssfencevma  for (w <- 0 until StorePipelineWidth) {
12300d32f713Shappy-lx    stu(w).io.lsu <> io.lsu.sta(w)
12310d32f713Shappy-lx  }
12320d32f713Shappy-lx
12330d32f713Shappy-lx  //----------------------------------------
12341f0e2dc7SJiawei Lin  // atomics
12351f0e2dc7SJiawei Lin  // atomics not finished yet
1236ffd3154dSCharlieLiu  // io.lsu.atomic <> atomicsReplayUnit.io.lsu
1237ffd3154dSCharlieLiu  val atomicResp = RegNext(mainPipe.io.atomic_resp)
1238ffd3154dSCharlieLiu  io.lsu.atomics.resp.valid := atomicResp.valid && atomicResp.bits.isAMO
1239ffd3154dSCharlieLiu  io.lsu.atomics.resp.bits := atomicResp.bits
1240ffd3154dSCharlieLiu
124162cb71fbShappy-lx  io.lsu.atomics.block_lr := mainPipe.io.block_lr
124262cb71fbShappy-lx  // atomicsReplayUnit.io.pipe_resp := RegNext(mainPipe.io.atomic_resp)
124362cb71fbShappy-lx  // atomicsReplayUnit.io.block_lr <> mainPipe.io.block_lr
12441f0e2dc7SJiawei Lin
12451f0e2dc7SJiawei Lin  //----------------------------------------
12461f0e2dc7SJiawei Lin  // miss queue
12470d32f713Shappy-lx  // missReqArb port:
124846ba64e8Ssfencevma  // enableStorePrefetch: main pipe * 1 + load pipe * 2 + store pipe * 1 +
124946ba64e8Ssfencevma  // hybrid * 1; disable: main pipe * 1 + load pipe * 2 + hybrid * 1
12500d32f713Shappy-lx  // higher priority is given to lower indices
125146ba64e8Ssfencevma  val MissReqPortCount = if(StorePrefetchL1Enabled) 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt else 1 + backendParams.LduCnt + backendParams.HyuCnt
12521f0e2dc7SJiawei Lin  val MainPipeMissReqPort = 0
125346ba64e8Ssfencevma  val HybridMissReqBase = MissReqPortCount - backendParams.HyuCnt
12541f0e2dc7SJiawei Lin
12551f0e2dc7SJiawei Lin  // Request
12566008d57dShappy-lx  val missReqArb = Module(new ArbiterFilterByCacheLineAddr(new MissReq, MissReqPortCount, blockOffBits, PAddrBits))
12571f0e2dc7SJiawei Lin
1258a98b054bSWilliam Wang  missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req
125946ba64e8Ssfencevma  for (w <- 0 until backendParams.LduCnt)  { missReqArb.io.in(w + 1) <> ldu(w).io.miss_req }
12601f0e2dc7SJiawei Lin
1261fa9ac9b6SWilliam Wang  for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp := missQueue.io.resp }
1262fa9ac9b6SWilliam Wang  mainPipe.io.miss_resp := missQueue.io.resp
1263683c1411Shappy-lx
12640d32f713Shappy-lx  if(StorePrefetchL1Enabled) {
126546ba64e8Ssfencevma    for (w <- 0 until backendParams.StaCnt) { missReqArb.io.in(1 + backendParams.LduCnt + w) <> stu(w).io.miss_req }
12660d32f713Shappy-lx  }else {
1267d7739d95Ssfencevma    for (w <- 0 until backendParams.StaCnt) { stu(w).io.miss_req.ready := false.B }
12680d32f713Shappy-lx  }
12690d32f713Shappy-lx
127046ba64e8Ssfencevma  for (i <- 0 until backendParams.HyuCnt) {
127146ba64e8Ssfencevma    val HybridLoadReqPort = HybridLoadReadBase + i
127246ba64e8Ssfencevma    val HybridStoreReqPort = HybridStoreReadBase + i
127346ba64e8Ssfencevma    val HybridMissReqPort = HybridMissReqBase + i
127446ba64e8Ssfencevma
127546ba64e8Ssfencevma    ldu(HybridLoadReqPort).io.miss_req.ready := false.B
127646ba64e8Ssfencevma    stu(HybridStoreReqPort).io.miss_req.ready := false.B
127746ba64e8Ssfencevma
127846ba64e8Ssfencevma    if (StorePrefetchL1Enabled) {
127946ba64e8Ssfencevma      when (ldu(HybridLoadReqPort).io.miss_req.valid) {
128046ba64e8Ssfencevma        missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req
128146ba64e8Ssfencevma      } .otherwise {
128246ba64e8Ssfencevma        missReqArb.io.in(HybridMissReqPort) <> stu(HybridStoreReqPort).io.miss_req
128346ba64e8Ssfencevma      }
128446ba64e8Ssfencevma    } else {
128546ba64e8Ssfencevma      missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req
128646ba64e8Ssfencevma    }
128746ba64e8Ssfencevma  }
128846ba64e8Ssfencevma
128946ba64e8Ssfencevma
12901f0e2dc7SJiawei Lin  wb.io.miss_req.valid := missReqArb.io.out.valid
12911f0e2dc7SJiawei Lin  wb.io.miss_req.bits  := missReqArb.io.out.bits.addr
12921f0e2dc7SJiawei Lin
1293a98b054bSWilliam Wang  // block_decoupled(missReqArb.io.out, missQueue.io.req, wb.io.block_miss_req)
1294a98b054bSWilliam Wang  missReqArb.io.out <> missQueue.io.req
1295a98b054bSWilliam Wang  when(wb.io.block_miss_req) {
1296a98b054bSWilliam Wang    missQueue.io.req.bits.cancel := true.B
1297a98b054bSWilliam Wang    missReqArb.io.out.ready := false.B
1298a98b054bSWilliam Wang  }
12991f0e2dc7SJiawei Lin
1300e50f3145Ssfencevma  for (w <- 0 until LoadPipelineWidth) { ldu(w).io.mq_enq_cancel := missQueue.io.mq_enq_cancel }
1301e50f3145Ssfencevma
13026008d57dShappy-lx  XSPerfAccumulate("miss_queue_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) >= 1.U)
13036008d57dShappy-lx  XSPerfAccumulate("miss_queue_muti_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) > 1.U)
13046b5c3d02Shappy-lx
13056b5c3d02Shappy-lx  XSPerfAccumulate("miss_queue_has_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) >= 1.U)
13066b5c3d02Shappy-lx  XSPerfAccumulate("miss_queue_has_muti_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U)
13076b5c3d02Shappy-lx  XSPerfAccumulate("miss_queue_has_muti_enq_but_not_fire", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U && PopCount(VecInit(missReqArb.io.in.map(_.fire))) === 0.U)
13086008d57dShappy-lx
1309683c1411Shappy-lx  // forward missqueue
1310683c1411Shappy-lx  (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i)))
1311683c1411Shappy-lx
13121f0e2dc7SJiawei Lin  // refill to load queue
1313692e2fafSHuijin Li // io.lsu.lsq <> missQueue.io.refill_to_ldq
13141f0e2dc7SJiawei Lin
13151f0e2dc7SJiawei Lin  // tilelink stuff
13161f0e2dc7SJiawei Lin  bus.a <> missQueue.io.mem_acquire
13171f0e2dc7SJiawei Lin  bus.e <> missQueue.io.mem_finish
1318ad3ba452Szhanglinjuan  missQueue.io.probe_addr := bus.b.bits.address
1319ad3ba452Szhanglinjuan
1320a98b054bSWilliam Wang  missQueue.io.main_pipe_resp := RegNext(mainPipe.io.atomic_resp)
13211f0e2dc7SJiawei Lin
13221f0e2dc7SJiawei Lin  //----------------------------------------
13231f0e2dc7SJiawei Lin  // probe
13241f0e2dc7SJiawei Lin  // probeQueue.io.mem_probe <> bus.b
13251f0e2dc7SJiawei Lin  block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block)
1326ad3ba452Szhanglinjuan  probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block
1327300ded30SWilliam Wang  probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set
13281f0e2dc7SJiawei Lin
1329ffd3154dSCharlieLiu  val refill_req = RegNext(missQueue.io.main_pipe_req.valid && ((missQueue.io.main_pipe_req.bits.isLoad) | (missQueue.io.main_pipe_req.bits.isStore)))
13301f0e2dc7SJiawei Lin  //----------------------------------------
13311f0e2dc7SJiawei Lin  // mainPipe
1332ad3ba452Szhanglinjuan  // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe,
1333ad3ba452Szhanglinjuan  // block the req in main pipe
1334ffd3154dSCharlieLiu  // block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, missQueue.io.refill_pipe_req.valid)
1335ffd3154dSCharlieLiu  block_decoupled(probeQueue.io.pipe_req, mainPipe.io.probe_req, refill_req)
1336ffd3154dSCharlieLiu  // block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refillPipe.io.req.valid)
1337ffd3154dSCharlieLiu  block_decoupled(io.lsu.store.req, mainPipe.io.store_req, refill_req)
13381f0e2dc7SJiawei Lin
1339a98b054bSWilliam Wang  io.lsu.store.replay_resp := RegNext(mainPipe.io.store_replay_resp)
1340ad3ba452Szhanglinjuan  io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp
13411f0e2dc7SJiawei Lin
1342ffd3154dSCharlieLiu  mainPipe.io.atomic_req <> io.lsu.atomics.req
13431f0e2dc7SJiawei Lin
1344a98b054bSWilliam Wang  mainPipe.io.invalid_resv_set := RegNext(wb.io.req.fire && wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits)
13451f0e2dc7SJiawei Lin
1346ad3ba452Szhanglinjuan  //----------------------------------------
1347b36dd5fdSWilliam Wang  // replace (main pipe)
1348ad3ba452Szhanglinjuan  val mpStatus = mainPipe.io.status
1349ffd3154dSCharlieLiu  mainPipe.io.refill_req <> missQueue.io.main_pipe_req
13501f0e2dc7SJiawei Lin
1351ffd3154dSCharlieLiu  mainPipe.io.data_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B))
1352ffd3154dSCharlieLiu  mainPipe.io.tag_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B))
1353c3a5fe5fShappy-lx  mainPipe.io.wb_ready_dup := wb.io.req_ready_dup
1354c3a5fe5fShappy-lx
13551f0e2dc7SJiawei Lin  //----------------------------------------
13561f0e2dc7SJiawei Lin  // wb
13571f0e2dc7SJiawei Lin  // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy
1358026615fcSWilliam Wang
1359578c21a4Szhanglinjuan  wb.io.req <> mainPipe.io.wb
13601f0e2dc7SJiawei Lin  bus.c     <> wb.io.mem_release
1361ffd3154dSCharlieLiu  // wb.io.release_wakeup := refillPipe.io.release_wakeup
1362ffd3154dSCharlieLiu  // wb.io.release_update := mainPipe.io.release_update
1363ffd3154dSCharlieLiu  //wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req
1364ffd3154dSCharlieLiu  //wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp
1365ef3b5b96SWilliam Wang
1366935edac4STang Haojin  io.lsu.release.valid := RegNext(wb.io.req.fire)
1367ef3b5b96SWilliam Wang  io.lsu.release.bits.paddr := RegNext(wb.io.req.bits.addr)
1368ef3b5b96SWilliam Wang  // Note: RegNext() is required by:
1369ef3b5b96SWilliam Wang  // * load queue released flag update logic
1370ef3b5b96SWilliam Wang  // * load / load violation check logic
1371ef3b5b96SWilliam Wang  // * and timing requirements
1372ef3b5b96SWilliam Wang  // CHANGE IT WITH CARE
13731f0e2dc7SJiawei Lin
13741f0e2dc7SJiawei Lin  // connect bus d
13751f0e2dc7SJiawei Lin  missQueue.io.mem_grant.valid := false.B
13761f0e2dc7SJiawei Lin  missQueue.io.mem_grant.bits  := DontCare
13771f0e2dc7SJiawei Lin
13781f0e2dc7SJiawei Lin  wb.io.mem_grant.valid := false.B
13791f0e2dc7SJiawei Lin  wb.io.mem_grant.bits  := DontCare
13801f0e2dc7SJiawei Lin
13811f0e2dc7SJiawei Lin  // in L1DCache, we ony expect Grant[Data] and ReleaseAck
13821f0e2dc7SJiawei Lin  bus.d.ready := false.B
13831f0e2dc7SJiawei Lin  when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData) {
13841f0e2dc7SJiawei Lin    missQueue.io.mem_grant <> bus.d
13851f0e2dc7SJiawei Lin  } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) {
13861f0e2dc7SJiawei Lin    wb.io.mem_grant <> bus.d
13871f0e2dc7SJiawei Lin  } .otherwise {
1388935edac4STang Haojin    assert (!bus.d.fire)
13891f0e2dc7SJiawei Lin  }
13901f0e2dc7SJiawei Lin
13911f0e2dc7SJiawei Lin  //----------------------------------------
13920d32f713Shappy-lx  // Feedback Direct Prefetch Monitor
13930d32f713Shappy-lx  fdpMonitor.io.refill := missQueue.io.prefetch_info.fdp.prefetch_monitor_cnt
13940d32f713Shappy-lx  fdpMonitor.io.timely.late_prefetch := missQueue.io.prefetch_info.fdp.late_miss_prefetch
13950d32f713Shappy-lx  fdpMonitor.io.accuracy.total_prefetch := missQueue.io.prefetch_info.fdp.total_prefetch
13960d32f713Shappy-lx  for (w <- 0 until LoadPipelineWidth)  {
13970d32f713Shappy-lx    if(w == 0) {
13980d32f713Shappy-lx      fdpMonitor.io.accuracy.useful_prefetch(w) := ldu(w).io.prefetch_info.fdp.useful_prefetch
13990d32f713Shappy-lx    }else {
14000d32f713Shappy-lx      fdpMonitor.io.accuracy.useful_prefetch(w) := Mux(same_cycle_update_pf_flag, false.B, ldu(w).io.prefetch_info.fdp.useful_prefetch)
14010d32f713Shappy-lx    }
14020d32f713Shappy-lx  }
14030d32f713Shappy-lx  for (w <- 0 until LoadPipelineWidth)  { fdpMonitor.io.pollution.cache_pollution(w) :=  ldu(w).io.prefetch_info.fdp.pollution }
14040d32f713Shappy-lx  for (w <- 0 until LoadPipelineWidth)  { fdpMonitor.io.pollution.demand_miss(w) :=  ldu(w).io.prefetch_info.fdp.demand_miss }
14057cf78eb2Shappy-lx  fdpMonitor.io.debugRolling := io.debugRolling
14060d32f713Shappy-lx
14070d32f713Shappy-lx  //----------------------------------------
14080d32f713Shappy-lx  // Bloom Filter
1409ffd3154dSCharlieLiu  // bloomFilter.io.set <> missQueue.io.bloom_filter_query.set
1410ffd3154dSCharlieLiu  // bloomFilter.io.clr <> missQueue.io.bloom_filter_query.clr
1411ffd3154dSCharlieLiu  bloomFilter.io.set <> mainPipe.io.bloom_filter_query.set
1412ffd3154dSCharlieLiu  bloomFilter.io.clr <> mainPipe.io.bloom_filter_query.clr
14130d32f713Shappy-lx
14140d32f713Shappy-lx  for (w <- 0 until LoadPipelineWidth)  { bloomFilter.io.query(w) <> ldu(w).io.bloom_filter_query.query }
14150d32f713Shappy-lx  for (w <- 0 until LoadPipelineWidth)  { bloomFilter.io.resp(w) <> ldu(w).io.bloom_filter_query.resp }
14160d32f713Shappy-lx
14170d32f713Shappy-lx  for (w <- 0 until LoadPipelineWidth)  { counterFilter.io.ld_in(w) <> ldu(w).io.counter_filter_enq }
14180d32f713Shappy-lx  for (w <- 0 until LoadPipelineWidth)  { counterFilter.io.query(w) <> ldu(w).io.counter_filter_query }
14190d32f713Shappy-lx
14200d32f713Shappy-lx  //----------------------------------------
1421ad3ba452Szhanglinjuan  // replacement algorithm
1422ad3ba452Szhanglinjuan  val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets)
14230d32f713Shappy-lx  val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) ++ stu.map(_.io.replace_way)
142404665835SMaxpicca-Li
142504665835SMaxpicca-Li  val victimList = VictimList(nSets)
142604665835SMaxpicca-Li  if (dwpuParam.enCfPred) {
1427ffd3154dSCharlieLiu    // when(missQueue.io.replace_pipe_req.valid) {
1428ffd3154dSCharlieLiu    //   victimList.replace(get_idx(missQueue.io.replace_pipe_req.bits.vaddr))
1429ffd3154dSCharlieLiu    // }
1430ad3ba452Szhanglinjuan    replWayReqs.foreach {
1431ad3ba452Szhanglinjuan      case req =>
1432ad3ba452Szhanglinjuan        req.way := DontCare
143304665835SMaxpicca-Li        when(req.set.valid) {
143404665835SMaxpicca-Li          when(victimList.whether_sa(req.set.bits)) {
143504665835SMaxpicca-Li            req.way := replacer.way(req.set.bits)
143604665835SMaxpicca-Li          }.otherwise {
143704665835SMaxpicca-Li            req.way := req.dmWay
143804665835SMaxpicca-Li          }
143904665835SMaxpicca-Li        }
144004665835SMaxpicca-Li    }
144104665835SMaxpicca-Li  } else {
144204665835SMaxpicca-Li    replWayReqs.foreach {
144304665835SMaxpicca-Li      case req =>
144404665835SMaxpicca-Li        req.way := DontCare
144504665835SMaxpicca-Li        when(req.set.valid) {
144604665835SMaxpicca-Li          req.way := replacer.way(req.set.bits)
144704665835SMaxpicca-Li        }
144804665835SMaxpicca-Li    }
1449ad3ba452Szhanglinjuan  }
1450ad3ba452Szhanglinjuan
1451ad3ba452Szhanglinjuan  val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq(
145292816bbcSWilliam Wang    mainPipe.io.replace_access
14530d32f713Shappy-lx  ) ++ stu.map(_.io.replace_access)
1454ad3ba452Szhanglinjuan  val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W))))
1455ad3ba452Szhanglinjuan  touchWays.zip(replAccessReqs).foreach {
1456ad3ba452Szhanglinjuan    case (w, req) =>
1457ad3ba452Szhanglinjuan      w.valid := req.valid
1458ad3ba452Szhanglinjuan      w.bits := req.bits.way
1459ad3ba452Szhanglinjuan  }
1460ad3ba452Szhanglinjuan  val touchSets = replAccessReqs.map(_.bits.set)
1461ad3ba452Szhanglinjuan  replacer.access(touchSets, touchWays)
1462ad3ba452Szhanglinjuan
1463ad3ba452Szhanglinjuan  //----------------------------------------
14641f0e2dc7SJiawei Lin  // assertions
14651f0e2dc7SJiawei Lin  // dcache should only deal with DRAM addresses
1466935edac4STang Haojin  when (bus.a.fire) {
14671f0e2dc7SJiawei Lin    assert(bus.a.bits.address >= 0x80000000L.U)
14681f0e2dc7SJiawei Lin  }
1469935edac4STang Haojin  when (bus.b.fire) {
14701f0e2dc7SJiawei Lin    assert(bus.b.bits.address >= 0x80000000L.U)
14711f0e2dc7SJiawei Lin  }
1472935edac4STang Haojin  when (bus.c.fire) {
14731f0e2dc7SJiawei Lin    assert(bus.c.bits.address >= 0x80000000L.U)
14741f0e2dc7SJiawei Lin  }
14751f0e2dc7SJiawei Lin
14761f0e2dc7SJiawei Lin  //----------------------------------------
14771f0e2dc7SJiawei Lin  // utility functions
14781f0e2dc7SJiawei Lin  def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = {
14791f0e2dc7SJiawei Lin    sink.valid   := source.valid && !block_signal
14801f0e2dc7SJiawei Lin    source.ready := sink.ready   && !block_signal
14811f0e2dc7SJiawei Lin    sink.bits    := source.bits
14821f0e2dc7SJiawei Lin  }
14831f0e2dc7SJiawei Lin
1484ffd3154dSCharlieLiu
14851f0e2dc7SJiawei Lin  //----------------------------------------
1486e19f7967SWilliam Wang  // Customized csr cache op support
1487e19f7967SWilliam Wang  val cacheOpDecoder = Module(new CSRCacheOpDecoder("dcache", CacheInstrucion.COP_ID_DCACHE))
1488e19f7967SWilliam Wang  cacheOpDecoder.io.csr <> io.csr
1489c3a5fe5fShappy-lx  bankedDataArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
1490c3a5fe5fShappy-lx  // dup cacheOp_req_valid
1491779109e3Slixin  bankedDataArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) }
1492c3a5fe5fShappy-lx  // dup cacheOp_req_bits_opCode
1493779109e3Slixin  bankedDataArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) }
1494c3a5fe5fShappy-lx
1495e19f7967SWilliam Wang  tagArray.io.cacheOp.req := cacheOpDecoder.io.cache.req
1496c3a5fe5fShappy-lx  // dup cacheOp_req_valid
1497779109e3Slixin  tagArray.io.cacheOp_req_dup.zipWithIndex.map{ case(dup, i) => dup := cacheOpDecoder.io.cache_req_dup(i) }
1498c3a5fe5fShappy-lx  // dup cacheOp_req_bits_opCode
1499779109e3Slixin  tagArray.io.cacheOp_req_bits_opCode_dup.zipWithIndex.map{ case (dup, i) => dup := cacheOpDecoder.io.cacheOp_req_bits_opCode_dup(i) }
1500e47fc57cSlixin
1501e19f7967SWilliam Wang  cacheOpDecoder.io.cache.resp.valid := bankedDataArray.io.cacheOp.resp.valid ||
1502e19f7967SWilliam Wang    tagArray.io.cacheOp.resp.valid
1503e19f7967SWilliam Wang  cacheOpDecoder.io.cache.resp.bits := Mux1H(List(
1504e19f7967SWilliam Wang    bankedDataArray.io.cacheOp.resp.valid -> bankedDataArray.io.cacheOp.resp.bits,
1505e19f7967SWilliam Wang    tagArray.io.cacheOp.resp.valid -> tagArray.io.cacheOp.resp.bits,
1506e19f7967SWilliam Wang  ))
1507026615fcSWilliam Wang  cacheOpDecoder.io.error := io.error
150841b68474SWilliam Wang  assert(!((bankedDataArray.io.cacheOp.resp.valid +& tagArray.io.cacheOp.resp.valid) > 1.U))
1509e19f7967SWilliam Wang
1510e19f7967SWilliam Wang  //----------------------------------------
15111f0e2dc7SJiawei Lin  // performance counters
1512935edac4STang Haojin  val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire))
15131f0e2dc7SJiawei Lin  XSPerfAccumulate("num_loads", num_loads)
15141f0e2dc7SJiawei Lin
15151f0e2dc7SJiawei Lin  io.mshrFull := missQueue.io.full
1516ad3ba452Szhanglinjuan
1517ad3ba452Szhanglinjuan  // performance counter
1518ffd3154dSCharlieLiu//  val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType))
1519ffd3154dSCharlieLiu//  val st_access = Wire(ld_access.last.cloneType)
1520ffd3154dSCharlieLiu//  ld_access.zip(ldu).foreach {
1521ffd3154dSCharlieLiu//    case (a, u) =>
1522ffd3154dSCharlieLiu//      a.valid := RegNext(u.io.lsu.req.fire()) && !u.io.lsu.s1_kill
1523ffd3154dSCharlieLiu//      a.bits.idx := RegNext(get_idx(u.io.lsu.req.bits.vaddr))
1524ffd3154dSCharlieLiu//      a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache)
1525ffd3154dSCharlieLiu//  }
1526ffd3154dSCharlieLiu//  st_access.valid := RegNext(mainPipe.io.store_req.fire())
1527ffd3154dSCharlieLiu//  st_access.bits.idx := RegNext(get_idx(mainPipe.io.store_req.bits.vaddr))
1528ffd3154dSCharlieLiu//  st_access.bits.tag := RegNext(get_tag(mainPipe.io.store_req.bits.addr))
1529ffd3154dSCharlieLiu//  val access_info = ld_access.toSeq ++ Seq(st_access)
1530ffd3154dSCharlieLiu//  val early_replace = RegNext(missQueue.io.debug_early_replace)
1531ffd3154dSCharlieLiu//  val access_early_replace = access_info.map {
1532ffd3154dSCharlieLiu//    case acc =>
1533ffd3154dSCharlieLiu//      Cat(early_replace.map {
1534ffd3154dSCharlieLiu//        case r =>
1535ffd3154dSCharlieLiu//          acc.valid && r.valid &&
1536ffd3154dSCharlieLiu//            acc.bits.tag === r.bits.tag &&
1537ffd3154dSCharlieLiu//            acc.bits.idx === r.bits.idx
1538ffd3154dSCharlieLiu//      })
1539ffd3154dSCharlieLiu//  }
1540ffd3154dSCharlieLiu//  XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace)))
1541cd365d4cSrvcoresjw
15421ca0e4f3SYinan Xu  val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents)
15431ca0e4f3SYinan Xu  generatePerfEvent()
15441f0e2dc7SJiawei Lin}
15451f0e2dc7SJiawei Lin
15461f0e2dc7SJiawei Linclass AMOHelper() extends ExtModule {
15471f0e2dc7SJiawei Lin  val clock  = IO(Input(Clock()))
15481f0e2dc7SJiawei Lin  val enable = IO(Input(Bool()))
15491f0e2dc7SJiawei Lin  val cmd    = IO(Input(UInt(5.W)))
15501f0e2dc7SJiawei Lin  val addr   = IO(Input(UInt(64.W)))
15511f0e2dc7SJiawei Lin  val wdata  = IO(Input(UInt(64.W)))
15521f0e2dc7SJiawei Lin  val mask   = IO(Input(UInt(8.W)))
15531f0e2dc7SJiawei Lin  val rdata  = IO(Output(UInt(64.W)))
15541f0e2dc7SJiawei Lin}
15551f0e2dc7SJiawei Lin
15564f94c0c6SJiawei Linclass DCacheWrapper()(implicit p: Parameters) extends LazyModule with HasXSParameter {
155795e60e55STang Haojin  override def shouldBeInlined: Boolean = false
15581f0e2dc7SJiawei Lin
15594f94c0c6SJiawei Lin  val useDcache = coreParams.dcacheParametersOpt.nonEmpty
15604f94c0c6SJiawei Lin  val clientNode = if (useDcache) TLIdentityNode() else null
15614f94c0c6SJiawei Lin  val dcache = if (useDcache) LazyModule(new DCache()) else null
15624f94c0c6SJiawei Lin  if (useDcache) {
15631f0e2dc7SJiawei Lin    clientNode := dcache.clientNode
15641f0e2dc7SJiawei Lin  }
15651f0e2dc7SJiawei Lin
1566935edac4STang Haojin  class DCacheWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents {
15671f0e2dc7SJiawei Lin    val io = IO(new DCacheIO)
15681ca0e4f3SYinan Xu    val perfEvents = if (!useDcache) {
15694f94c0c6SJiawei Lin      // a fake dcache which uses dpi-c to access memory, only for debug usage!
15701f0e2dc7SJiawei Lin      val fake_dcache = Module(new FakeDCache())
15711f0e2dc7SJiawei Lin      io <> fake_dcache.io
15721ca0e4f3SYinan Xu      Seq()
15731f0e2dc7SJiawei Lin    }
15741f0e2dc7SJiawei Lin    else {
15751f0e2dc7SJiawei Lin      io <> dcache.module.io
15761ca0e4f3SYinan Xu      dcache.module.getPerfEvents
15771f0e2dc7SJiawei Lin    }
15781ca0e4f3SYinan Xu    generatePerfEvent()
15791f0e2dc7SJiawei Lin  }
1580935edac4STang Haojin
1581935edac4STang Haojin  lazy val module = new DCacheWrapperImp(this)
15821f0e2dc7SJiawei Lin}