11f0e2dc7SJiawei Lin/*************************************************************************************** 21f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 31f0e2dc7SJiawei Lin* Copyright (c) 2020-2021 Peng Cheng Laboratory 41f0e2dc7SJiawei Lin* 51f0e2dc7SJiawei Lin* XiangShan is licensed under Mulan PSL v2. 61f0e2dc7SJiawei Lin* You can use this software according to the terms and conditions of the Mulan PSL v2. 71f0e2dc7SJiawei Lin* You may obtain a copy of Mulan PSL v2 at: 81f0e2dc7SJiawei Lin* http://license.coscl.org.cn/MulanPSL2 91f0e2dc7SJiawei Lin* 101f0e2dc7SJiawei Lin* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 111f0e2dc7SJiawei Lin* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 121f0e2dc7SJiawei Lin* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 131f0e2dc7SJiawei Lin* 141f0e2dc7SJiawei Lin* See the Mulan PSL v2 for more details. 151f0e2dc7SJiawei Lin***************************************************************************************/ 161f0e2dc7SJiawei Lin 171f0e2dc7SJiawei Linpackage xiangshan.cache 181f0e2dc7SJiawei Lin 191f0e2dc7SJiawei Linimport chisel3._ 201f0e2dc7SJiawei Linimport chisel3.experimental.ExtModule 211f0e2dc7SJiawei Linimport chisel3.util._ 227f37d55fSTang Haojinimport coupledL2.VaddrField 23d2945707SHuijin Liimport coupledL2.IsKeywordField 24d2945707SHuijin Liimport coupledL2.IsKeywordKey 2572dab974Scz4eimport freechips.rocketchip.diplomacy._ 261f0e2dc7SJiawei Linimport freechips.rocketchip.tilelink._ 277f37d55fSTang Haojinimport freechips.rocketchip.util.BundleFieldBase 287f37d55fSTang Haojinimport huancun.{AliasField, PrefetchField} 297f37d55fSTang Haojinimport org.chipsalliance.cde.config.Parameters 307f37d55fSTang Haojinimport utility._ 317f37d55fSTang Haojinimport utils._ 327f37d55fSTang Haojinimport xiangshan._ 339ae95edaSAnzoooooimport xiangshan.backend.Bundles.DynInst 347f37d55fSTang Haojinimport xiangshan.backend.rob.RobDebugRollingIO 3504665835SMaxpicca-Liimport xiangshan.cache.wpu._ 367f37d55fSTang Haojinimport xiangshan.mem.{AddPipelineReg, HasL1PrefetchSourceParameter} 370d32f713Shappy-lximport xiangshan.mem.prefetch._ 38d2945707SHuijin Liimport xiangshan.mem.LqPtr 395668a921SJiawei Lin 401f0e2dc7SJiawei Lin// DCache specific parameters 411f0e2dc7SJiawei Lincase class DCacheParameters 421f0e2dc7SJiawei Lin( 4320e09ab1Shappy-lx nSets: Int = 128, 441f0e2dc7SJiawei Lin nWays: Int = 8, 45af22dd7cSWilliam Wang rowBits: Int = 64, 461f0e2dc7SJiawei Lin tagECC: Option[String] = None, 471f0e2dc7SJiawei Lin dataECC: Option[String] = None, 48300ded30SWilliam Wang replacer: Option[String] = Some("setplru"), 49fa9ac9b6SWilliam Wang updateReplaceOn2ndmiss: Boolean = true, 501f0e2dc7SJiawei Lin nMissEntries: Int = 1, 511f0e2dc7SJiawei Lin nProbeEntries: Int = 1, 521f0e2dc7SJiawei Lin nReleaseEntries: Int = 1, 531f0e2dc7SJiawei Lin nMMIOEntries: Int = 1, 541f0e2dc7SJiawei Lin nMMIOs: Int = 1, 55fddcfe1fSwakafa blockBytes: Int = 64, 560d32f713Shappy-lx nMaxPrefetchEntry: Int = 1, 57d2945707SHuijin Li alwaysReleaseData: Boolean = false, 5831d5a9c4Ssfencevma isKeywordBitsOpt: Option[Boolean] = Some(true), 5931d5a9c4Ssfencevma enableDataEcc: Boolean = false, 6072dab974Scz4e enableTagEcc: Boolean = false, 6172dab974Scz4e cacheCtrlAddressOpt: Option[AddressSet] = None, 621f0e2dc7SJiawei Lin) extends L1CacheParameters { 631f0e2dc7SJiawei Lin // if sets * blockBytes > 4KB(page size), 641f0e2dc7SJiawei Lin // cache alias will happen, 651f0e2dc7SJiawei Lin // we need to avoid this by recoding additional bits in L2 cache 661f0e2dc7SJiawei Lin val setBytes = nSets * blockBytes 671f0e2dc7SJiawei Lin val aliasBitsOpt = if(setBytes > pageSize) Some(log2Ceil(setBytes / pageSize)) else None 681f0e2dc7SJiawei Lin 691f0e2dc7SJiawei Lin def tagCode: Code = Code.fromString(tagECC) 701f0e2dc7SJiawei Lin 711f0e2dc7SJiawei Lin def dataCode: Code = Code.fromString(dataECC) 721f0e2dc7SJiawei Lin} 731f0e2dc7SJiawei Lin 741f0e2dc7SJiawei Lin// Physical Address 751f0e2dc7SJiawei Lin// -------------------------------------- 761f0e2dc7SJiawei Lin// | Physical Tag | PIndex | Offset | 771f0e2dc7SJiawei Lin// -------------------------------------- 781f0e2dc7SJiawei Lin// | 791f0e2dc7SJiawei Lin// DCacheTagOffset 801f0e2dc7SJiawei Lin// 811f0e2dc7SJiawei Lin// Virtual Address 821f0e2dc7SJiawei Lin// -------------------------------------- 831f0e2dc7SJiawei Lin// | Above index | Set | Bank | Offset | 841f0e2dc7SJiawei Lin// -------------------------------------- 851f0e2dc7SJiawei Lin// | | | | 86ca18a0b4SWilliam Wang// | | | 0 871f0e2dc7SJiawei Lin// | | DCacheBankOffset 881f0e2dc7SJiawei Lin// | DCacheSetOffset 891f0e2dc7SJiawei Lin// DCacheAboveIndexOffset 901f0e2dc7SJiawei Lin 911f0e2dc7SJiawei Lin// Default DCache size = 64 sets * 8 ways * 8 banks * 8 Byte = 32K Byte 921f0e2dc7SJiawei Lin 930d32f713Shappy-lxtrait HasDCacheParameters extends HasL1CacheParameters with HasL1PrefetchSourceParameter{ 941f0e2dc7SJiawei Lin val cacheParams = dcacheParameters 951f0e2dc7SJiawei Lin val cfg = cacheParams 961f0e2dc7SJiawei Lin 971f0e2dc7SJiawei Lin def blockProbeAfterGrantCycles = 8 // give the processor some time to issue a request after a grant 981f0e2dc7SJiawei Lin 992db9ec44SLinJiawei def nSourceType = 10 1001f0e2dc7SJiawei Lin def sourceTypeWidth = log2Up(nSourceType) 10100575ac8SWilliam Wang // non-prefetch source < 3 1021f0e2dc7SJiawei Lin def LOAD_SOURCE = 0 1031f0e2dc7SJiawei Lin def STORE_SOURCE = 1 1041f0e2dc7SJiawei Lin def AMO_SOURCE = 2 10500575ac8SWilliam Wang // prefetch source >= 3 10600575ac8SWilliam Wang def DCACHE_PREFETCH_SOURCE = 3 1072db9ec44SLinJiawei def SOFT_PREFETCH = 4 1080d32f713Shappy-lx // the following sources are only used inside SMS 1092db9ec44SLinJiawei def HW_PREFETCH_AGT = 5 1102db9ec44SLinJiawei def HW_PREFETCH_PHT_CUR = 6 1112db9ec44SLinJiawei def HW_PREFETCH_PHT_INC = 7 1122db9ec44SLinJiawei def HW_PREFETCH_PHT_DEC = 8 1132db9ec44SLinJiawei def HW_PREFETCH_BOP = 9 1142db9ec44SLinJiawei def HW_PREFETCH_STRIDE = 10 1151f0e2dc7SJiawei Lin 1160d32f713Shappy-lx def BLOOM_FILTER_ENTRY_NUM = 4096 1170d32f713Shappy-lx 1181f0e2dc7SJiawei Lin // each source use a id to distinguish its multiple reqs 1198b1251e1SWilliam Wang def reqIdWidth = log2Up(nEntries) max log2Up(StoreBufferSize) 1201f0e2dc7SJiawei Lin 121300ded30SWilliam Wang require(isPow2(cfg.nMissEntries)) // TODO 122300ded30SWilliam Wang // require(isPow2(cfg.nReleaseEntries)) 123300ded30SWilliam Wang require(cfg.nMissEntries < cfg.nReleaseEntries) 1244f2cafefSCharlieLiu val nEntries = cfg.nMissEntries + cfg.nReleaseEntries + 1 // nMissEntries + nReleaseEntries + 1CMO_Entry 1254f2cafefSCharlieLiu val releaseIdBase = cfg.nMissEntries + 1 12631d5a9c4Ssfencevma val EnableDataEcc = cacheParams.enableDataEcc 12731d5a9c4Ssfencevma val EnableTagEcc = cacheParams.enableTagEcc 128ad3ba452Szhanglinjuan 1291f0e2dc7SJiawei Lin // banked dcache support 1303eeae490SMaxpicca-Li val DCacheSetDiv = 1 1311f0e2dc7SJiawei Lin val DCacheSets = cacheParams.nSets 1321f0e2dc7SJiawei Lin val DCacheWays = cacheParams.nWays 133af22dd7cSWilliam Wang val DCacheBanks = 8 // hardcoded 134a9c1b353SMaxpicca-Li val DCacheDupNum = 16 135af22dd7cSWilliam Wang val DCacheSRAMRowBits = cacheParams.rowBits // hardcoded 136ca18a0b4SWilliam Wang val DCacheWordBits = 64 // hardcoded 137ca18a0b4SWilliam Wang val DCacheWordBytes = DCacheWordBits / 8 1380d32f713Shappy-lx val MaxPrefetchEntry = cacheParams.nMaxPrefetchEntry 139cdbff57cSHaoyuan Feng val DCacheVWordBytes = VLEN / 8 140af22dd7cSWilliam Wang require(DCacheSRAMRowBits == 64) 1411f0e2dc7SJiawei Lin 1423eeae490SMaxpicca-Li val DCacheSetDivBits = log2Ceil(DCacheSetDiv) 1433eeae490SMaxpicca-Li val DCacheSetBits = log2Ceil(DCacheSets) 144ca18a0b4SWilliam Wang val DCacheSizeBits = DCacheSRAMRowBits * DCacheBanks * DCacheWays * DCacheSets 145ca18a0b4SWilliam Wang val DCacheSizeBytes = DCacheSizeBits / 8 146ca18a0b4SWilliam Wang val DCacheSizeWords = DCacheSizeBits / 64 // TODO 1471f0e2dc7SJiawei Lin 1481f0e2dc7SJiawei Lin val DCacheSameVPAddrLength = 12 1491f0e2dc7SJiawei Lin 1501f0e2dc7SJiawei Lin val DCacheSRAMRowBytes = DCacheSRAMRowBits / 8 151ca18a0b4SWilliam Wang val DCacheWordOffset = log2Up(DCacheWordBytes) 152cdbff57cSHaoyuan Feng val DCacheVWordOffset = log2Up(DCacheVWordBytes) 153ca18a0b4SWilliam Wang 154ca18a0b4SWilliam Wang val DCacheBankOffset = log2Up(DCacheSRAMRowBytes) 1551f0e2dc7SJiawei Lin val DCacheSetOffset = DCacheBankOffset + log2Up(DCacheBanks) 1561f0e2dc7SJiawei Lin val DCacheAboveIndexOffset = DCacheSetOffset + log2Up(DCacheSets) 1571f0e2dc7SJiawei Lin val DCacheTagOffset = DCacheAboveIndexOffset min DCacheSameVPAddrLength 158ca18a0b4SWilliam Wang val DCacheLineOffset = DCacheSetOffset 1591f0e2dc7SJiawei Lin 160b34797bcScz4e def encWordBits = cacheParams.dataCode.width(wordBits) 161b34797bcScz4e def encRowBits = encWordBits * rowWords // for DuplicatedDataArray only 162b34797bcScz4e def eccBits = encWordBits - wordBits 163b34797bcScz4e 164b34797bcScz4e def encTagBits = if (EnableTagEcc) cacheParams.tagCode.width(tagBits) else tagBits 165b34797bcScz4e def tagECCBits = encTagBits - tagBits 166b34797bcScz4e 167b34797bcScz4e def encDataBits = if (EnableDataEcc) cacheParams.dataCode.width(DCacheSRAMRowBits) else DCacheSRAMRowBits 168b34797bcScz4e def dataECCBits = encDataBits - DCacheSRAMRowBits 169b34797bcScz4e 17072dab974Scz4e // L1 DCache controller 17172dab974Scz4e val cacheCtrlParamsOpt = OptionWrapper( 17272dab974Scz4e cacheParams.cacheCtrlAddressOpt.nonEmpty, 17372dab974Scz4e L1CacheCtrlParams(cacheParams.cacheCtrlAddressOpt.get) 17472dab974Scz4e ) 17537225120Ssfencevma // uncache 176be867ebcSAnzooooo val uncacheIdxBits = log2Up(VirtualLoadQueueMaxStoreQueueSize + 1) 177b52348aeSWilliam Wang // hardware prefetch parameters 178b52348aeSWilliam Wang // high confidence hardware prefetch port 179b52348aeSWilliam Wang val HighConfHWPFLoadPort = LoadPipelineWidth - 1 // use the last load port by default 180b52348aeSWilliam Wang val IgnorePrefetchConfidence = false 18137225120Ssfencevma 1826c7e5e86Szhanglinjuan // parameters about duplicating regs to solve fanout 1836c7e5e86Szhanglinjuan // In Main Pipe: 1846c7e5e86Szhanglinjuan // tag_write.ready -> data_write.valid * 8 banks 1856c7e5e86Szhanglinjuan // tag_write.ready -> meta_write.valid 1866c7e5e86Szhanglinjuan // tag_write.ready -> tag_write.valid 1876c7e5e86Szhanglinjuan // tag_write.ready -> err_write.valid 1886c7e5e86Szhanglinjuan // tag_write.ready -> wb.valid 1896c7e5e86Szhanglinjuan val nDupTagWriteReady = DCacheBanks + 4 1906c7e5e86Szhanglinjuan // In Main Pipe: 1916c7e5e86Szhanglinjuan // data_write.ready -> data_write.valid * 8 banks 1926c7e5e86Szhanglinjuan // data_write.ready -> meta_write.valid 1936c7e5e86Szhanglinjuan // data_write.ready -> tag_write.valid 1946c7e5e86Szhanglinjuan // data_write.ready -> err_write.valid 1956c7e5e86Szhanglinjuan // data_write.ready -> wb.valid 1966c7e5e86Szhanglinjuan val nDupDataWriteReady = DCacheBanks + 4 1976c7e5e86Szhanglinjuan val nDupWbReady = DCacheBanks + 4 1986c7e5e86Szhanglinjuan val nDupStatus = nDupTagWriteReady + nDupDataWriteReady 1996c7e5e86Szhanglinjuan val dataWritePort = 0 2006c7e5e86Szhanglinjuan val metaWritePort = DCacheBanks 2016c7e5e86Szhanglinjuan val tagWritePort = metaWritePort + 1 2026c7e5e86Szhanglinjuan val errWritePort = tagWritePort + 1 2036c7e5e86Szhanglinjuan val wbPort = errWritePort + 1 2046c7e5e86Szhanglinjuan 2053eeae490SMaxpicca-Li def set_to_dcache_div(set: UInt) = { 2063eeae490SMaxpicca-Li require(set.getWidth >= DCacheSetBits) 2073eeae490SMaxpicca-Li if (DCacheSetDivBits == 0) 0.U else set(DCacheSetDivBits-1, 0) 2083eeae490SMaxpicca-Li } 2093eeae490SMaxpicca-Li 2103eeae490SMaxpicca-Li def set_to_dcache_div_set(set: UInt) = { 2113eeae490SMaxpicca-Li require(set.getWidth >= DCacheSetBits) 2123eeae490SMaxpicca-Li set(DCacheSetBits - 1, DCacheSetDivBits) 2133eeae490SMaxpicca-Li } 2143eeae490SMaxpicca-Li 2151f0e2dc7SJiawei Lin def addr_to_dcache_bank(addr: UInt) = { 2161f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheSetOffset) 2171f0e2dc7SJiawei Lin addr(DCacheSetOffset-1, DCacheBankOffset) 2181f0e2dc7SJiawei Lin } 2191f0e2dc7SJiawei Lin 2203eeae490SMaxpicca-Li def addr_to_dcache_div(addr: UInt) = { 2213eeae490SMaxpicca-Li require(addr.getWidth >= DCacheAboveIndexOffset) 2223eeae490SMaxpicca-Li if(DCacheSetDivBits == 0) 0.U else addr(DCacheSetOffset + DCacheSetDivBits - 1, DCacheSetOffset) 2233eeae490SMaxpicca-Li } 2243eeae490SMaxpicca-Li 2253eeae490SMaxpicca-Li def addr_to_dcache_div_set(addr: UInt) = { 2263eeae490SMaxpicca-Li require(addr.getWidth >= DCacheAboveIndexOffset) 2273eeae490SMaxpicca-Li addr(DCacheAboveIndexOffset - 1, DCacheSetOffset + DCacheSetDivBits) 2283eeae490SMaxpicca-Li } 2293eeae490SMaxpicca-Li 2301f0e2dc7SJiawei Lin def addr_to_dcache_set(addr: UInt) = { 2311f0e2dc7SJiawei Lin require(addr.getWidth >= DCacheAboveIndexOffset) 2321f0e2dc7SJiawei Lin addr(DCacheAboveIndexOffset-1, DCacheSetOffset) 2331f0e2dc7SJiawei Lin } 2341f0e2dc7SJiawei Lin 2351f0e2dc7SJiawei Lin def get_data_of_bank(bank: Int, data: UInt) = { 2361f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBits) 2371f0e2dc7SJiawei Lin data(DCacheSRAMRowBits * (bank + 1) - 1, DCacheSRAMRowBits * bank) 2381f0e2dc7SJiawei Lin } 2391f0e2dc7SJiawei Lin 2401f0e2dc7SJiawei Lin def get_mask_of_bank(bank: Int, data: UInt) = { 2411f0e2dc7SJiawei Lin require(data.getWidth >= (bank+1)*DCacheSRAMRowBytes) 2421f0e2dc7SJiawei Lin data(DCacheSRAMRowBytes * (bank + 1) - 1, DCacheSRAMRowBytes * bank) 2431f0e2dc7SJiawei Lin } 2441f0e2dc7SJiawei Lin 245401876faSYanqin Li def get_alias(vaddr: UInt): UInt ={ 24620e09ab1Shappy-lx // require(blockOffBits + idxBits > pgIdxBits) 247401876faSYanqin Li if(blockOffBits + idxBits > pgIdxBits){ 248401876faSYanqin Li vaddr(blockOffBits + idxBits - 1, pgIdxBits) 249401876faSYanqin Li }else{ 250401876faSYanqin Li 0.U 251401876faSYanqin Li } 252401876faSYanqin Li } 2531f0e2dc7SJiawei Lin 2540d32f713Shappy-lx def is_alias_match(vaddr0: UInt, vaddr1: UInt): Bool = { 2550d32f713Shappy-lx require(vaddr0.getWidth == VAddrBits && vaddr1.getWidth == VAddrBits) 2560d32f713Shappy-lx if(blockOffBits + idxBits > pgIdxBits) { 2570d32f713Shappy-lx vaddr0(blockOffBits + idxBits - 1, pgIdxBits) === vaddr1(blockOffBits + idxBits - 1, pgIdxBits) 2580d32f713Shappy-lx }else { 2590d32f713Shappy-lx // no alias problem 2600d32f713Shappy-lx true.B 2610d32f713Shappy-lx } 2620d32f713Shappy-lx } 2630d32f713Shappy-lx 26404665835SMaxpicca-Li def get_direct_map_way(addr:UInt): UInt = { 26504665835SMaxpicca-Li addr(DCacheAboveIndexOffset + log2Up(DCacheWays) - 1, DCacheAboveIndexOffset) 26604665835SMaxpicca-Li } 26704665835SMaxpicca-Li 268578c21a4Szhanglinjuan def arbiter[T <: Bundle]( 269578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 270578c21a4Szhanglinjuan out: DecoupledIO[T], 271578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 272578c21a4Szhanglinjuan val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 273578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 274578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 275578c21a4Szhanglinjuan a <> req 276578c21a4Szhanglinjuan } 277578c21a4Szhanglinjuan out <> arb.io.out 278578c21a4Szhanglinjuan } 279578c21a4Szhanglinjuan 280b36dd5fdSWilliam Wang def arbiter_with_pipereg[T <: Bundle]( 281b36dd5fdSWilliam Wang in: Seq[DecoupledIO[T]], 282b36dd5fdSWilliam Wang out: DecoupledIO[T], 283b36dd5fdSWilliam Wang name: Option[String] = None): Unit = { 284b36dd5fdSWilliam Wang val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 285b36dd5fdSWilliam Wang if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 286b36dd5fdSWilliam Wang for ((a, req) <- arb.io.in.zip(in)) { 287b36dd5fdSWilliam Wang a <> req 288b36dd5fdSWilliam Wang } 289b36dd5fdSWilliam Wang AddPipelineReg(arb.io.out, out, false.B) 290b36dd5fdSWilliam Wang } 291b36dd5fdSWilliam Wang 292b11ec622Slixin def arbiter_with_pipereg_N_dup[T <: Bundle]( 293b11ec622Slixin in: Seq[DecoupledIO[T]], 294b11ec622Slixin out: DecoupledIO[T], 295c3a5fe5fShappy-lx dups: Seq[DecoupledIO[T]], 296b11ec622Slixin name: Option[String] = None): Unit = { 297b11ec622Slixin val arb = Module(new Arbiter[T](chiselTypeOf(out.bits), in.size)) 298b11ec622Slixin if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 299b11ec622Slixin for ((a, req) <- arb.io.in.zip(in)) { 300b11ec622Slixin a <> req 301b11ec622Slixin } 302b11ec622Slixin for (dup <- dups) { 303c3a5fe5fShappy-lx AddPipelineReg(arb.io.out, dup, false.B) 304b11ec622Slixin } 305c3a5fe5fShappy-lx AddPipelineReg(arb.io.out, out, false.B) 306b11ec622Slixin } 307b11ec622Slixin 308578c21a4Szhanglinjuan def rrArbiter[T <: Bundle]( 309578c21a4Szhanglinjuan in: Seq[DecoupledIO[T]], 310578c21a4Szhanglinjuan out: DecoupledIO[T], 311578c21a4Szhanglinjuan name: Option[String] = None): Unit = { 312578c21a4Szhanglinjuan val arb = Module(new RRArbiter[T](chiselTypeOf(out.bits), in.size)) 313578c21a4Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 314578c21a4Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 315578c21a4Szhanglinjuan a <> req 316578c21a4Szhanglinjuan } 317578c21a4Szhanglinjuan out <> arb.io.out 318578c21a4Szhanglinjuan } 319578c21a4Szhanglinjuan 3207cd72b71Szhanglinjuan def fastArbiter[T <: Bundle]( 3217cd72b71Szhanglinjuan in: Seq[DecoupledIO[T]], 3227cd72b71Szhanglinjuan out: DecoupledIO[T], 3237cd72b71Szhanglinjuan name: Option[String] = None): Unit = { 3247cd72b71Szhanglinjuan val arb = Module(new FastArbiter[T](chiselTypeOf(out.bits), in.size)) 3257cd72b71Szhanglinjuan if (name.nonEmpty) { arb.suggestName(s"${name.get}_arb") } 3267cd72b71Szhanglinjuan for ((a, req) <- arb.io.in.zip(in)) { 3277cd72b71Szhanglinjuan a <> req 3287cd72b71Szhanglinjuan } 3297cd72b71Szhanglinjuan out <> arb.io.out 3307cd72b71Szhanglinjuan } 3317cd72b71Szhanglinjuan 332ad3ba452Szhanglinjuan val numReplaceRespPorts = 2 333ad3ba452Szhanglinjuan 3341f0e2dc7SJiawei Lin require(isPow2(nSets), s"nSets($nSets) must be pow2") 3351f0e2dc7SJiawei Lin require(isPow2(nWays), s"nWays($nWays) must be pow2") 3361f0e2dc7SJiawei Lin require(full_divide(rowBits, wordBits), s"rowBits($rowBits) must be multiple of wordBits($wordBits)") 3371f0e2dc7SJiawei Lin require(full_divide(beatBits, rowBits), s"beatBits($beatBits) must be multiple of rowBits($rowBits)") 3381f0e2dc7SJiawei Lin} 3391f0e2dc7SJiawei Lin 3401f0e2dc7SJiawei Linabstract class DCacheModule(implicit p: Parameters) extends L1CacheModule 3411f0e2dc7SJiawei Lin with HasDCacheParameters 3421f0e2dc7SJiawei Lin 3431f0e2dc7SJiawei Linabstract class DCacheBundle(implicit p: Parameters) extends L1CacheBundle 3441f0e2dc7SJiawei Lin with HasDCacheParameters 3451f0e2dc7SJiawei Lin 3461f0e2dc7SJiawei Linclass ReplacementAccessBundle(implicit p: Parameters) extends DCacheBundle { 3471f0e2dc7SJiawei Lin val set = UInt(log2Up(nSets).W) 3481f0e2dc7SJiawei Lin val way = UInt(log2Up(nWays).W) 3491f0e2dc7SJiawei Lin} 3501f0e2dc7SJiawei Lin 351ad3ba452Szhanglinjuanclass ReplacementWayReqIO(implicit p: Parameters) extends DCacheBundle { 352ad3ba452Szhanglinjuan val set = ValidIO(UInt(log2Up(nSets).W)) 35304665835SMaxpicca-Li val dmWay = Output(UInt(log2Up(nWays).W)) 354ad3ba452Szhanglinjuan val way = Input(UInt(log2Up(nWays).W)) 355ad3ba452Szhanglinjuan} 356ad3ba452Szhanglinjuan 3573af6aa6eSWilliam Wangclass DCacheExtraMeta(implicit p: Parameters) extends DCacheBundle 3583af6aa6eSWilliam Wang{ 3593af6aa6eSWilliam Wang val error = Bool() // cache line has been marked as corrupted by l2 / ecc error detected when store 3600d32f713Shappy-lx val prefetch = UInt(L1PfSourceBits.W) // cache line is first required by prefetch 3613af6aa6eSWilliam Wang val access = Bool() // cache line has been accessed by load / store 3623af6aa6eSWilliam Wang 3633af6aa6eSWilliam Wang // val debug_access_timestamp = UInt(64.W) // last time a load / store / refill access that cacheline 3643af6aa6eSWilliam Wang} 3653af6aa6eSWilliam Wang 3661f0e2dc7SJiawei Lin// memory request in word granularity(load, mmio, lr/sc, atomics) 3671f0e2dc7SJiawei Linclass DCacheWordReq(implicit p: Parameters) extends DCacheBundle 3681f0e2dc7SJiawei Lin{ 3691f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 370d2b20d1aSTang Haojin val vaddr = UInt(VAddrBits.W) 371fa5e530dScz4e val vaddr_dup = UInt(VAddrBits.W) 372cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 373cdbff57cSHaoyuan Feng val mask = UInt((VLEN/8).W) 3741f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 3753f4ec46fSCODE-JTZ val instrtype = UInt(sourceTypeWidth.W) 376da3bf434SMaxpicca-Li val isFirstIssue = Bool() 37704665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 378d2945707SHuijin Li val lqIdx = new LqPtr 379da3bf434SMaxpicca-Li 380da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 3818b33cd30Sklin02 def dump(cond: Bool) = { 3828b33cd30Sklin02 XSDebug(cond, "DCacheWordReq: cmd: %x vaddr: %x data: %x mask: %x id: %d\n", 383d2b20d1aSTang Haojin cmd, vaddr, data, mask, id) 3841f0e2dc7SJiawei Lin } 3851f0e2dc7SJiawei Lin} 3861f0e2dc7SJiawei Lin 3871f0e2dc7SJiawei Lin// memory request in word granularity(store) 3881f0e2dc7SJiawei Linclass DCacheLineReq(implicit p: Parameters) extends DCacheBundle 3891f0e2dc7SJiawei Lin{ 3901f0e2dc7SJiawei Lin val cmd = UInt(M_SZ.W) 3911f0e2dc7SJiawei Lin val vaddr = UInt(VAddrBits.W) 3921f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 3931f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 3941f0e2dc7SJiawei Lin val mask = UInt(cfg.blockBytes.W) 3951f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 3968b33cd30Sklin02 def dump(cond: Bool) = { 3978b33cd30Sklin02 XSDebug(cond, "DCacheLineReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 3981f0e2dc7SJiawei Lin cmd, addr, data, mask, id) 3991f0e2dc7SJiawei Lin } 400ad3ba452Szhanglinjuan def idx: UInt = get_idx(vaddr) 4011f0e2dc7SJiawei Lin} 4021f0e2dc7SJiawei Lin 4031f0e2dc7SJiawei Linclass DCacheWordReqWithVaddr(implicit p: Parameters) extends DCacheWordReq { 404d2b20d1aSTang Haojin val addr = UInt(PAddrBits.W) 405ca18a0b4SWilliam Wang val wline = Bool() 4061f0e2dc7SJiawei Lin} 4071f0e2dc7SJiawei Lin 4080d32f713Shappy-lxclass DCacheWordReqWithVaddrAndPfFlag(implicit p: Parameters) extends DCacheWordReqWithVaddr { 4090d32f713Shappy-lx val prefetch = Bool() 410315e1323Sgood-circle val vecValid = Bool() 411b240e1c0SAnzooooo val sqNeedDeq = Bool() 4120d32f713Shappy-lx 4130d32f713Shappy-lx def toDCacheWordReqWithVaddr() = { 4140d32f713Shappy-lx val res = Wire(new DCacheWordReqWithVaddr) 4150d32f713Shappy-lx res.vaddr := vaddr 4160d32f713Shappy-lx res.wline := wline 4170d32f713Shappy-lx res.cmd := cmd 4180d32f713Shappy-lx res.addr := addr 4190d32f713Shappy-lx res.data := data 4200d32f713Shappy-lx res.mask := mask 4210d32f713Shappy-lx res.id := id 4220d32f713Shappy-lx res.instrtype := instrtype 4230d32f713Shappy-lx res.replayCarry := replayCarry 4240d32f713Shappy-lx res.isFirstIssue := isFirstIssue 4250d32f713Shappy-lx res.debug_robIdx := debug_robIdx 4260d32f713Shappy-lx 4270d32f713Shappy-lx res 4280d32f713Shappy-lx } 4290d32f713Shappy-lx} 4300d32f713Shappy-lx 4316786cfb7SWilliam Wangclass BaseDCacheWordResp(implicit p: Parameters) extends DCacheBundle 4321f0e2dc7SJiawei Lin{ 433144422dcSMaxpicca-Li // read in s2 434cdbff57cSHaoyuan Feng val data = UInt(VLEN.W) 435144422dcSMaxpicca-Li // select in s3 436cdbff57cSHaoyuan Feng val data_delayed = UInt(VLEN.W) 437026615fcSWilliam Wang val id = UInt(reqIdWidth.W) 4381f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 4391f0e2dc7SJiawei Lin val miss = Bool() 440026615fcSWilliam Wang // cache miss, and failed to enter the missqueue, replay from RS is needed 4411f0e2dc7SJiawei Lin val replay = Bool() 44204665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 443026615fcSWilliam Wang // data has been corrupted 444a469aa4bSWilliam Wang val tag_error = Bool() // tag error 445144422dcSMaxpicca-Li val mshr_id = UInt(log2Up(cfg.nMissEntries).W) 446144422dcSMaxpicca-Li 447da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 4488b33cd30Sklin02 def dump(cond: Bool) = { 4498b33cd30Sklin02 XSDebug(cond, "DCacheWordResp: data: %x id: %d miss: %b replay: %b\n", 4501f0e2dc7SJiawei Lin data, id, miss, replay) 4511f0e2dc7SJiawei Lin } 4521f0e2dc7SJiawei Lin} 4531f0e2dc7SJiawei Lin 4546786cfb7SWilliam Wangclass DCacheWordResp(implicit p: Parameters) extends BaseDCacheWordResp 4556786cfb7SWilliam Wang{ 4560d32f713Shappy-lx val meta_prefetch = UInt(L1PfSourceBits.W) 4574b6d4d13SWilliam Wang val meta_access = Bool() 458b9e121dfShappy-lx // s2 459b9e121dfShappy-lx val handled = Bool() 4600d32f713Shappy-lx val real_miss = Bool() 461b9e121dfShappy-lx // s3: 1 cycle after data resp 4626786cfb7SWilliam Wang val error_delayed = Bool() // all kinds of errors, include tag error 463b9e121dfShappy-lx val replacementUpdated = Bool() 4646786cfb7SWilliam Wang} 4656786cfb7SWilliam Wang 466a19ae480SWilliam Wangclass BankedDCacheWordResp(implicit p: Parameters) extends DCacheWordResp 467a19ae480SWilliam Wang{ 468a19ae480SWilliam Wang val bank_data = Vec(DCacheBanks, Bits(DCacheSRAMRowBits.W)) 469a19ae480SWilliam Wang val bank_oh = UInt(DCacheBanks.W) 470a19ae480SWilliam Wang} 471a19ae480SWilliam Wang 4726786cfb7SWilliam Wangclass DCacheWordRespWithError(implicit p: Parameters) extends BaseDCacheWordResp 4736786cfb7SWilliam Wang{ 4746786cfb7SWilliam Wang val error = Bool() // all kinds of errors, include tag error 47558cb1b0bSzhanglinjuan val nderr = Bool() 4766786cfb7SWilliam Wang} 4776786cfb7SWilliam Wang 4781f0e2dc7SJiawei Linclass DCacheLineResp(implicit p: Parameters) extends DCacheBundle 4791f0e2dc7SJiawei Lin{ 4801f0e2dc7SJiawei Lin val data = UInt((cfg.blockBytes * 8).W) 4811f0e2dc7SJiawei Lin // cache req missed, send it to miss queue 4821f0e2dc7SJiawei Lin val miss = Bool() 4831f0e2dc7SJiawei Lin // cache req nacked, replay it later 4841f0e2dc7SJiawei Lin val replay = Bool() 4851f0e2dc7SJiawei Lin val id = UInt(reqIdWidth.W) 4868b33cd30Sklin02 def dump(cond: Bool) = { 4878b33cd30Sklin02 XSDebug(cond, "DCacheLineResp: data: %x id: %d miss: %b replay: %b\n", 4881f0e2dc7SJiawei Lin data, id, miss, replay) 4891f0e2dc7SJiawei Lin } 4901f0e2dc7SJiawei Lin} 4911f0e2dc7SJiawei Lin 4921f0e2dc7SJiawei Linclass Refill(implicit p: Parameters) extends DCacheBundle 4931f0e2dc7SJiawei Lin{ 4941f0e2dc7SJiawei Lin val addr = UInt(PAddrBits.W) 4951f0e2dc7SJiawei Lin val data = UInt(l1BusDataWidth.W) 496026615fcSWilliam Wang val error = Bool() // refilled data has been corrupted 4971f0e2dc7SJiawei Lin // for debug usage 4981f0e2dc7SJiawei Lin val data_raw = UInt((cfg.blockBytes * 8).W) 4991f0e2dc7SJiawei Lin val hasdata = Bool() 5001f0e2dc7SJiawei Lin val refill_done = Bool() 5018b33cd30Sklin02 def dump(cond: Bool) = { 5028b33cd30Sklin02 XSDebug(cond, "Refill: addr: %x data: %x\n", addr, data) 5031f0e2dc7SJiawei Lin } 504683c1411Shappy-lx val id = UInt(log2Up(cfg.nMissEntries).W) 5051f0e2dc7SJiawei Lin} 5061f0e2dc7SJiawei Lin 50767682d05SWilliam Wangclass Release(implicit p: Parameters) extends DCacheBundle 50867682d05SWilliam Wang{ 50967682d05SWilliam Wang val paddr = UInt(PAddrBits.W) 5108b33cd30Sklin02 def dump(cond: Bool) = { 5118b33cd30Sklin02 XSDebug(cond, "Release: paddr: %x\n", paddr(PAddrBits-1, DCacheTagOffset)) 51267682d05SWilliam Wang } 51367682d05SWilliam Wang} 51467682d05SWilliam Wang 5151f0e2dc7SJiawei Linclass DCacheWordIO(implicit p: Parameters) extends DCacheBundle 5161f0e2dc7SJiawei Lin{ 5171f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheWordReq) 518144422dcSMaxpicca-Li val resp = Flipped(DecoupledIO(new DCacheWordResp)) 5191f0e2dc7SJiawei Lin} 5201f0e2dc7SJiawei Lin 52137225120Ssfencevma 52237225120Ssfencevmaclass UncacheWordReq(implicit p: Parameters) extends DCacheBundle 52337225120Ssfencevma{ 52437225120Ssfencevma val cmd = UInt(M_SZ.W) 52537225120Ssfencevma val addr = UInt(PAddrBits.W) 526e04c5f64SYanqin Li val vaddr = UInt(VAddrBits.W) // for uncache buffer forwarding 527cdbff57cSHaoyuan Feng val data = UInt(XLEN.W) 528cdbff57cSHaoyuan Feng val mask = UInt((XLEN/8).W) 52937225120Ssfencevma val id = UInt(uncacheIdxBits.W) 53037225120Ssfencevma val instrtype = UInt(sourceTypeWidth.W) 53137225120Ssfencevma val atomic = Bool() 532c7353d05SYanqin Li val nc = Bool() 533519244c7SYanqin Li val memBackTypeMM = Bool() 534da3bf434SMaxpicca-Li val isFirstIssue = Bool() 53504665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 53637225120Ssfencevma 5378b33cd30Sklin02 def dump(cond: Bool) = { 5388b33cd30Sklin02 XSDebug(cond, "UncacheWordReq: cmd: %x addr: %x data: %x mask: %x id: %d\n", 53937225120Ssfencevma cmd, addr, data, mask, id) 54037225120Ssfencevma } 54137225120Ssfencevma} 54237225120Ssfencevma 543cdbff57cSHaoyuan Fengclass UncacheWordResp(implicit p: Parameters) extends DCacheBundle 54437225120Ssfencevma{ 545cdbff57cSHaoyuan Feng val data = UInt(XLEN.W) 546cdbff57cSHaoyuan Feng val data_delayed = UInt(XLEN.W) 547e04c5f64SYanqin Li val id = UInt(uncacheIdxBits.W) // resp identified signals 548e04c5f64SYanqin Li val nc = Bool() // resp identified signals 549e04c5f64SYanqin Li val is2lq = Bool() // resp identified signals 55037225120Ssfencevma val miss = Bool() 55137225120Ssfencevma val replay = Bool() 55237225120Ssfencevma val tag_error = Bool() 55337225120Ssfencevma val error = Bool() 55458cb1b0bSzhanglinjuan val nderr = Bool() 55504665835SMaxpicca-Li val replayCarry = new ReplayCarry(nWays) 556144422dcSMaxpicca-Li val mshr_id = UInt(log2Up(cfg.nMissEntries).W) // FIXME: why uncacheWordResp is not merged to baseDcacheResp 55737225120Ssfencevma 558da3bf434SMaxpicca-Li val debug_robIdx = UInt(log2Ceil(RobSize).W) 5598b33cd30Sklin02 def dump(cond: Bool) = { 5608b33cd30Sklin02 XSDebug(cond, "UncacheWordResp: data: %x id: %d miss: %b replay: %b, tag_error: %b, error: %b\n", 56137225120Ssfencevma data, id, miss, replay, tag_error, error) 56237225120Ssfencevma } 56337225120Ssfencevma} 56437225120Ssfencevma 5656786cfb7SWilliam Wangclass UncacheWordIO(implicit p: Parameters) extends DCacheBundle 5666786cfb7SWilliam Wang{ 56737225120Ssfencevma val req = DecoupledIO(new UncacheWordReq) 568cdbff57cSHaoyuan Feng val resp = Flipped(DecoupledIO(new UncacheWordResp)) 5696786cfb7SWilliam Wang} 5706786cfb7SWilliam Wang 571ffd3154dSCharlieLiuclass MainPipeResp(implicit p: Parameters) extends DCacheBundle { 572ffd3154dSCharlieLiu //distinguish amo 573ffd3154dSCharlieLiu val source = UInt(sourceTypeWidth.W) 57438c29594Szhanglinjuan val data = UInt(QuadWordBits.W) 57562cb71fbShappy-lx val miss = Bool() 57662cb71fbShappy-lx val miss_id = UInt(log2Up(cfg.nMissEntries).W) 57762cb71fbShappy-lx val replay = Bool() 57862cb71fbShappy-lx val error = Bool() 57962cb71fbShappy-lx 58062cb71fbShappy-lx val ack_miss_queue = Bool() 58162cb71fbShappy-lx 58262cb71fbShappy-lx val id = UInt(reqIdWidth.W) 583ffd3154dSCharlieLiu 584ffd3154dSCharlieLiu def isAMO: Bool = source === AMO_SOURCE.U 585ffd3154dSCharlieLiu def isStore: Bool = source === STORE_SOURCE.U 58662cb71fbShappy-lx} 58762cb71fbShappy-lx 5886786cfb7SWilliam Wangclass AtomicWordIO(implicit p: Parameters) extends DCacheBundle 5891f0e2dc7SJiawei Lin{ 59062cb71fbShappy-lx val req = DecoupledIO(new MainPipeReq) 591ffd3154dSCharlieLiu val resp = Flipped(ValidIO(new MainPipeResp)) 59262cb71fbShappy-lx val block_lr = Input(Bool()) 5931f0e2dc7SJiawei Lin} 5941f0e2dc7SJiawei Lin 595dc4fac13SCharlieLiuclass CMOReq(implicit p: Parameters) extends Bundle { 596dc4fac13SCharlieLiu val opcode = UInt(3.W) // 0-cbo.clean, 1-cbo.flush, 2-cbo.inval, 3-cbo.zero 597dc4fac13SCharlieLiu val address = UInt(64.W) 598dc4fac13SCharlieLiu} 599dc4fac13SCharlieLiu 600dc4fac13SCharlieLiuclass CMOResp(implicit p: Parameters) extends Bundle { 601dc4fac13SCharlieLiu val address = UInt(64.W) 602*1abade56SAnzo val nderr = Bool() 603dc4fac13SCharlieLiu} 604dc4fac13SCharlieLiu 6051f0e2dc7SJiawei Lin// used by load unit 6061f0e2dc7SJiawei Linclass DCacheLoadIO(implicit p: Parameters) extends DCacheWordIO 6071f0e2dc7SJiawei Lin{ 6081f0e2dc7SJiawei Lin // kill previous cycle's req 60908b0bc30Shappy-lx val s1_kill_data_read = Output(Bool()) // only kill bandedDataRead at s1 61008b0bc30Shappy-lx val s1_kill = Output(Bool()) // kill loadpipe req at s1 611b6982e83SLemover val s2_kill = Output(Bool()) 61204665835SMaxpicca-Li val s0_pc = Output(UInt(VAddrBits.W)) 61304665835SMaxpicca-Li val s1_pc = Output(UInt(VAddrBits.W)) 6142db9ec44SLinJiawei val s2_pc = Output(UInt(VAddrBits.W)) 615b9e121dfShappy-lx // cycle 0: load has updated replacement before 616b9e121dfShappy-lx val replacementUpdated = Output(Bool()) 61700e6f2e2Sweiding liu val is128Req = Bool() 6180d32f713Shappy-lx // cycle 0: prefetch source bits 6190d32f713Shappy-lx val pf_source = Output(UInt(L1PfSourceBits.W)) 620d2945707SHuijin Li // cycle0: load microop 621d2945707SHuijin Li // val s0_uop = Output(new MicroOp) 6221f0e2dc7SJiawei Lin // cycle 0: virtual address: req.addr 6231f0e2dc7SJiawei Lin // cycle 1: physical address: s1_paddr 62403efd994Shappy-lx val s1_paddr_dup_lsu = Output(UInt(PAddrBits.W)) // lsu side paddr 62503efd994Shappy-lx val s1_paddr_dup_dcache = Output(UInt(PAddrBits.W)) // dcache side paddr 6261f0e2dc7SJiawei Lin val s1_disable_fast_wakeup = Input(Bool()) 62703efd994Shappy-lx // cycle 2: hit signal 62803efd994Shappy-lx val s2_hit = Input(Bool()) // hit signal for lsu, 629da3bf434SMaxpicca-Li val s2_first_hit = Input(Bool()) 630594c5198Ssfencevma val s2_bank_conflict = Input(Bool()) 63114a67055Ssfencevma val s2_wpu_pred_fail = Input(Bool()) 63214a67055Ssfencevma val s2_mq_nack = Input(Bool()) 63303efd994Shappy-lx 63403efd994Shappy-lx // debug 63503efd994Shappy-lx val debug_s1_hit_way = Input(UInt(nWays.W)) 63604665835SMaxpicca-Li val debug_s2_pred_way_num = Input(UInt(XLEN.W)) 63704665835SMaxpicca-Li val debug_s2_dm_way_num = Input(UInt(XLEN.W)) 63804665835SMaxpicca-Li val debug_s2_real_way_num = Input(UInt(XLEN.W)) 6391f0e2dc7SJiawei Lin} 6401f0e2dc7SJiawei Lin 6411f0e2dc7SJiawei Linclass DCacheLineIO(implicit p: Parameters) extends DCacheBundle 6421f0e2dc7SJiawei Lin{ 6431f0e2dc7SJiawei Lin val req = DecoupledIO(new DCacheLineReq) 6441f0e2dc7SJiawei Lin val resp = Flipped(DecoupledIO(new DCacheLineResp)) 6451f0e2dc7SJiawei Lin} 6461f0e2dc7SJiawei Lin 647ad3ba452Szhanglinjuanclass DCacheToSbufferIO(implicit p: Parameters) extends DCacheBundle { 648ad3ba452Szhanglinjuan // sbuffer will directly send request to dcache main pipe 649ad3ba452Szhanglinjuan val req = Flipped(Decoupled(new DCacheLineReq)) 650ad3ba452Szhanglinjuan 651ad3ba452Szhanglinjuan val main_pipe_hit_resp = ValidIO(new DCacheLineResp) 652ffd3154dSCharlieLiu //val refill_hit_resp = ValidIO(new DCacheLineResp) 653ad3ba452Szhanglinjuan 654ad3ba452Szhanglinjuan val replay_resp = ValidIO(new DCacheLineResp) 655ad3ba452Szhanglinjuan 656ffd3154dSCharlieLiu //def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp, refill_hit_resp) 657ffd3154dSCharlieLiu def hit_resps: Seq[ValidIO[DCacheLineResp]] = Seq(main_pipe_hit_resp) 658ad3ba452Szhanglinjuan} 659ad3ba452Szhanglinjuan 660683c1411Shappy-lx// forward tilelink channel D's data to ldu 661683c1411Shappy-lxclass DcacheToLduForwardIO(implicit p: Parameters) extends DCacheBundle { 662683c1411Shappy-lx val valid = Bool() 663683c1411Shappy-lx val data = UInt(l1BusDataWidth.W) 664683c1411Shappy-lx val mshrid = UInt(log2Up(cfg.nMissEntries).W) 665683c1411Shappy-lx val last = Bool() 666066ca249Szhanglinjuan val corrupt = Bool() 667683c1411Shappy-lx 668066ca249Szhanglinjuan def apply(d: DecoupledIO[TLBundleD], edge: TLEdgeOut) = { 669066ca249Szhanglinjuan val isKeyword = d.bits.echo.lift(IsKeywordKey).getOrElse(false.B) 670066ca249Szhanglinjuan val (_, _, done, _) = edge.count(d) 671066ca249Szhanglinjuan valid := d.valid 672066ca249Szhanglinjuan data := d.bits.data 673066ca249Szhanglinjuan mshrid := d.bits.source 674066ca249Szhanglinjuan last := isKeyword ^ done 675066ca249Szhanglinjuan corrupt := d.bits.corrupt || d.bits.denied 676683c1411Shappy-lx } 677683c1411Shappy-lx 678683c1411Shappy-lx def dontCare() = { 679683c1411Shappy-lx valid := false.B 680683c1411Shappy-lx data := DontCare 681683c1411Shappy-lx mshrid := DontCare 682683c1411Shappy-lx last := DontCare 683066ca249Szhanglinjuan corrupt := false.B 684683c1411Shappy-lx } 685683c1411Shappy-lx 686683c1411Shappy-lx def forward(req_valid : Bool, req_mshr_id : UInt, req_paddr : UInt) = { 687683c1411Shappy-lx val all_match = req_valid && valid && 688683c1411Shappy-lx req_mshr_id === mshrid && 689683c1411Shappy-lx req_paddr(log2Up(refillBytes)) === last 690683c1411Shappy-lx val forward_D = RegInit(false.B) 691cdbff57cSHaoyuan Feng val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 692683c1411Shappy-lx 693683c1411Shappy-lx val block_idx = req_paddr(log2Up(refillBytes) - 1, 3) 694683c1411Shappy-lx val block_data = Wire(Vec(l1BusDataWidth / 64, UInt(64.W))) 695683c1411Shappy-lx (0 until l1BusDataWidth / 64).map(i => { 696683c1411Shappy-lx block_data(i) := data(64 * i + 63, 64 * i) 697683c1411Shappy-lx }) 698cdbff57cSHaoyuan Feng val selected_data = Wire(UInt(128.W)) 699cdbff57cSHaoyuan Feng selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 700683c1411Shappy-lx 701683c1411Shappy-lx forward_D := all_match 702cdbff57cSHaoyuan Feng for (i <- 0 until VLEN/8) { 7035adc4829SYanqin Li when (all_match) { 704683c1411Shappy-lx forwardData(i) := selected_data(8 * i + 7, 8 * i) 705683c1411Shappy-lx } 7065adc4829SYanqin Li } 707683c1411Shappy-lx 708066ca249Szhanglinjuan (forward_D, forwardData, corrupt) 709683c1411Shappy-lx } 710683c1411Shappy-lx} 711683c1411Shappy-lx 712683c1411Shappy-lxclass MissEntryForwardIO(implicit p: Parameters) extends DCacheBundle { 713683c1411Shappy-lx val inflight = Bool() 714683c1411Shappy-lx val paddr = UInt(PAddrBits.W) 7159ebbb510Shappy-lx val raw_data = Vec(blockRows, UInt(rowBits.W)) 716683c1411Shappy-lx val firstbeat_valid = Bool() 717683c1411Shappy-lx val lastbeat_valid = Bool() 718066ca249Szhanglinjuan val corrupt = Bool() 719683c1411Shappy-lx 720683c1411Shappy-lx // check if we can forward from mshr or D channel 721683c1411Shappy-lx def check(req_valid : Bool, req_paddr : UInt) = { 7225adc4829SYanqin Li RegNext(req_valid && inflight && req_paddr(PAddrBits - 1, blockOffBits) === paddr(PAddrBits - 1, blockOffBits)) // TODO: clock gate(1-bit) 723683c1411Shappy-lx } 724683c1411Shappy-lx 725683c1411Shappy-lx def forward(req_valid : Bool, req_paddr : UInt) = { 726683c1411Shappy-lx val all_match = (req_paddr(log2Up(refillBytes)) === 0.U && firstbeat_valid) || 727683c1411Shappy-lx (req_paddr(log2Up(refillBytes)) === 1.U && lastbeat_valid) 728683c1411Shappy-lx 729683c1411Shappy-lx val forward_mshr = RegInit(false.B) 730cdbff57cSHaoyuan Feng val forwardData = RegInit(VecInit(List.fill(VLEN/8)(0.U(8.W)))) 731683c1411Shappy-lx 7329ebbb510Shappy-lx val block_idx = req_paddr(log2Up(refillBytes), 3) 7339ebbb510Shappy-lx val block_data = raw_data 7349ebbb510Shappy-lx 735cdbff57cSHaoyuan Feng val selected_data = Wire(UInt(128.W)) 736cdbff57cSHaoyuan Feng selected_data := Mux(req_paddr(3), Fill(2, block_data(block_idx)), Cat(block_data(block_idx + 1.U), block_data(block_idx))) 737683c1411Shappy-lx 738683c1411Shappy-lx forward_mshr := all_match 739cdbff57cSHaoyuan Feng for (i <- 0 until VLEN/8) { 740683c1411Shappy-lx forwardData(i) := selected_data(8 * i + 7, 8 * i) 741683c1411Shappy-lx } 742683c1411Shappy-lx 743683c1411Shappy-lx (forward_mshr, forwardData) 744683c1411Shappy-lx } 745683c1411Shappy-lx} 746683c1411Shappy-lx 747683c1411Shappy-lx// forward mshr's data to ldu 748683c1411Shappy-lxclass LduToMissqueueForwardIO(implicit p: Parameters) extends DCacheBundle { 749066ca249Szhanglinjuan // TODO: use separate Bundles for req and resp 750683c1411Shappy-lx // req 751683c1411Shappy-lx val valid = Input(Bool()) 752683c1411Shappy-lx val mshrid = Input(UInt(log2Up(cfg.nMissEntries).W)) 753683c1411Shappy-lx val paddr = Input(UInt(PAddrBits.W)) 754683c1411Shappy-lx // resp 755683c1411Shappy-lx val forward_mshr = Output(Bool()) 756cdbff57cSHaoyuan Feng val forwardData = Output(Vec(VLEN/8, UInt(8.W))) 757683c1411Shappy-lx val forward_result_valid = Output(Bool()) 758066ca249Szhanglinjuan val corrupt = Output(Bool()) 759683c1411Shappy-lx 760066ca249Szhanglinjuan // Why? What is the purpose of `connect`??? 761683c1411Shappy-lx def connect(sink: LduToMissqueueForwardIO) = { 762683c1411Shappy-lx sink.valid := valid 763683c1411Shappy-lx sink.mshrid := mshrid 764683c1411Shappy-lx sink.paddr := paddr 765683c1411Shappy-lx forward_mshr := sink.forward_mshr 766683c1411Shappy-lx forwardData := sink.forwardData 767683c1411Shappy-lx forward_result_valid := sink.forward_result_valid 768066ca249Szhanglinjuan corrupt := sink.corrupt 769683c1411Shappy-lx } 770683c1411Shappy-lx 771683c1411Shappy-lx def forward() = { 772066ca249Szhanglinjuan (forward_result_valid, forward_mshr, forwardData, corrupt) 773683c1411Shappy-lx } 774683c1411Shappy-lx} 775683c1411Shappy-lx 7760d32f713Shappy-lxclass StorePrefetchReq(implicit p: Parameters) extends DCacheBundle { 7770d32f713Shappy-lx val paddr = UInt(PAddrBits.W) 7780d32f713Shappy-lx val vaddr = UInt(VAddrBits.W) 7790d32f713Shappy-lx} 7800d32f713Shappy-lx 7811f0e2dc7SJiawei Linclass DCacheToLsuIO(implicit p: Parameters) extends DCacheBundle { 78246ba64e8Ssfencevma val load = Vec(LoadPipelineWidth, Flipped(new DCacheLoadIO)) // for speculative load 78346ba64e8Ssfencevma val sta = Vec(StorePipelineWidth, Flipped(new DCacheStoreIO)) // for non-blocking store 784692e2fafSHuijin Li //val lsq = ValidIO(new Refill) // refill to load queue, wake up load misses 7859444e131Ssfencevma val tl_d_channel = Output(new DcacheToLduForwardIO) 786ad3ba452Szhanglinjuan val store = new DCacheToSbufferIO // for sbuffer 7876786cfb7SWilliam Wang val atomics = Flipped(new AtomicWordIO) // atomics reqs 78867682d05SWilliam Wang val release = ValidIO(new Release) // cacheline release hint for ld-ld violation check 789683c1411Shappy-lx val forward_D = Output(Vec(LoadPipelineWidth, new DcacheToLduForwardIO)) 790683c1411Shappy-lx val forward_mshr = Vec(LoadPipelineWidth, new LduToMissqueueForwardIO) 7911f0e2dc7SJiawei Lin} 7921f0e2dc7SJiawei Lin 79360ebee38STang Haojinclass DCacheTopDownIO(implicit p: Parameters) extends DCacheBundle { 79460ebee38STang Haojin val robHeadVaddr = Flipped(Valid(UInt(VAddrBits.W))) 79560ebee38STang Haojin val robHeadMissInDCache = Output(Bool()) 79660ebee38STang Haojin val robHeadOtherReplay = Input(Bool()) 79760ebee38STang Haojin} 79860ebee38STang Haojin 7991f0e2dc7SJiawei Linclass DCacheIO(implicit p: Parameters) extends DCacheBundle { 800f57f7f2aSYangyu Chen val hartId = Input(UInt(hartIdLen.W)) 801f1d78cf7SLinJiawei val l2_pf_store_only = Input(Bool()) 8021f0e2dc7SJiawei Lin val lsu = new DCacheToLsuIO 8030184a80eSYanqin Li val error = ValidIO(new L1CacheErrorInfo) 8041f0e2dc7SJiawei Lin val mshrFull = Output(Bool()) 8050d32f713Shappy-lx val memSetPattenDetected = Output(Bool()) 8060d32f713Shappy-lx val lqEmpty = Input(Bool()) 8070d32f713Shappy-lx val pf_ctrl = Output(new PrefetchControlBundle) 8082fdb4d6aShappy-lx val force_write = Input(Bool()) 8096005a7e2Shappy-lx val sms_agt_evict_req = DecoupledIO(new AGTEvictReq) 81060ebee38STang Haojin val debugTopDown = new DCacheTopDownIO 8117cf78eb2Shappy-lx val debugRolling = Flipped(new RobDebugRollingIO) 812ffd3154dSCharlieLiu val l2_hint = Input(Valid(new L2ToL1Hint())) 813dc4fac13SCharlieLiu val cmoOpReq = Flipped(DecoupledIO(new CMOReq)) 814dc4fac13SCharlieLiu val cmoOpResp = DecoupledIO(new CMOResp) 815e836c770SZhaoyang You val l1Miss = Output(Bool()) 8161f0e2dc7SJiawei Lin} 8171f0e2dc7SJiawei Lin 81808b0bc30Shappy-lxprivate object ArbiterCtrl { 81908b0bc30Shappy-lx def apply(request: Seq[Bool]): Seq[Bool] = request.length match { 82008b0bc30Shappy-lx case 0 => Seq() 82108b0bc30Shappy-lx case 1 => Seq(true.B) 82208b0bc30Shappy-lx case _ => true.B +: request.tail.init.scanLeft(request.head)(_ || _).map(!_) 82308b0bc30Shappy-lx } 82408b0bc30Shappy-lx} 82508b0bc30Shappy-lx 82608b0bc30Shappy-lxclass TreeArbiter[T <: MissReqWoStoreData](val gen: T, val n: Int) extends Module{ 82708b0bc30Shappy-lx val io = IO(new ArbiterIO(gen, n)) 82808b0bc30Shappy-lx 82908b0bc30Shappy-lx def selectTree(in: Vec[Valid[T]], sIdx: UInt): Tuple2[UInt, T] = { 83008b0bc30Shappy-lx if (in.length == 1) { 83108b0bc30Shappy-lx (sIdx, in(0).bits) 83208b0bc30Shappy-lx } else if (in.length == 2) { 83308b0bc30Shappy-lx ( 83408b0bc30Shappy-lx Mux(in(0).valid, sIdx, sIdx + 1.U), 83508b0bc30Shappy-lx Mux(in(0).valid, in(0).bits, in(1).bits) 83608b0bc30Shappy-lx ) 83708b0bc30Shappy-lx } else { 83808b0bc30Shappy-lx val half = in.length / 2 83908b0bc30Shappy-lx val leftValid = in.slice(0, half).map(_.valid).reduce(_ || _) 84008b0bc30Shappy-lx val (leftIdx, leftSel) = selectTree(VecInit(in.slice(0, half)), sIdx) 84108b0bc30Shappy-lx val (rightIdx, rightSel) = selectTree(VecInit(in.slice(half, in.length)), sIdx + half.U) 84208b0bc30Shappy-lx ( 84308b0bc30Shappy-lx Mux(leftValid, leftIdx, rightIdx), 84408b0bc30Shappy-lx Mux(leftValid, leftSel, rightSel) 84508b0bc30Shappy-lx ) 84608b0bc30Shappy-lx } 84708b0bc30Shappy-lx } 84808b0bc30Shappy-lx val ins = Wire(Vec(n, Valid(gen))) 84908b0bc30Shappy-lx for (i <- 0 until n) { 85008b0bc30Shappy-lx ins(i).valid := io.in(i).valid 85108b0bc30Shappy-lx ins(i).bits := io.in(i).bits 85208b0bc30Shappy-lx } 85308b0bc30Shappy-lx val (idx, sel) = selectTree(ins, 0.U) 85408b0bc30Shappy-lx // NOTE: io.chosen is very slow, dont use it 85508b0bc30Shappy-lx io.chosen := idx 85608b0bc30Shappy-lx io.out.bits := sel 85708b0bc30Shappy-lx 85808b0bc30Shappy-lx val grant = ArbiterCtrl(io.in.map(_.valid)) 85908b0bc30Shappy-lx for ((in, g) <- io.in.zip(grant)) 86008b0bc30Shappy-lx in.ready := g && io.out.ready 86108b0bc30Shappy-lx io.out.valid := !grant.last || io.in.last.valid 86208b0bc30Shappy-lx} 86308b0bc30Shappy-lx 86408b0bc30Shappy-lxclass DCacheMEQueryIOBundle(implicit p: Parameters) extends DCacheBundle 86508b0bc30Shappy-lx{ 86608b0bc30Shappy-lx val req = ValidIO(new MissReqWoStoreData) 86708b0bc30Shappy-lx val primary_ready = Input(Bool()) 86808b0bc30Shappy-lx val secondary_ready = Input(Bool()) 86908b0bc30Shappy-lx val secondary_reject = Input(Bool()) 87008b0bc30Shappy-lx} 87108b0bc30Shappy-lx 87208b0bc30Shappy-lxclass DCacheMQQueryIOBundle(implicit p: Parameters) extends DCacheBundle 87308b0bc30Shappy-lx{ 87408b0bc30Shappy-lx val req = ValidIO(new MissReq) 87508b0bc30Shappy-lx val ready = Input(Bool()) 87608b0bc30Shappy-lx} 87708b0bc30Shappy-lx 87808b0bc30Shappy-lxclass MissReadyGen(val n: Int)(implicit p: Parameters) extends XSModule { 87908b0bc30Shappy-lx val io = IO(new Bundle { 88008b0bc30Shappy-lx val in = Vec(n, Flipped(DecoupledIO(new MissReq))) 88108b0bc30Shappy-lx val queryMQ = Vec(n, new DCacheMQQueryIOBundle) 88208b0bc30Shappy-lx }) 88308b0bc30Shappy-lx 88408b0bc30Shappy-lx val mqReadyVec = io.queryMQ.map(_.ready) 88508b0bc30Shappy-lx 88608b0bc30Shappy-lx io.queryMQ.zipWithIndex.foreach{ 88708b0bc30Shappy-lx case (q, idx) => { 88808b0bc30Shappy-lx q.req.valid := io.in(idx).valid 88908b0bc30Shappy-lx q.req.bits := io.in(idx).bits 89008b0bc30Shappy-lx } 89108b0bc30Shappy-lx } 89208b0bc30Shappy-lx io.in.zipWithIndex.map { 89308b0bc30Shappy-lx case (r, idx) => { 89408b0bc30Shappy-lx if (idx == 0) { 89508b0bc30Shappy-lx r.ready := mqReadyVec(idx) 89608b0bc30Shappy-lx } else { 89708b0bc30Shappy-lx r.ready := mqReadyVec(idx) && !Cat(io.in.slice(0, idx).map(_.valid)).orR 89808b0bc30Shappy-lx } 89908b0bc30Shappy-lx } 90008b0bc30Shappy-lx } 90108b0bc30Shappy-lx 90208b0bc30Shappy-lx} 90308b0bc30Shappy-lx 9041f0e2dc7SJiawei Linclass DCache()(implicit p: Parameters) extends LazyModule with HasDCacheParameters { 90595e60e55STang Haojin override def shouldBeInlined: Boolean = false 9061f0e2dc7SJiawei Lin 907ffc9de54Swakafa val reqFields: Seq[BundleFieldBase] = Seq( 908ffc9de54Swakafa PrefetchField(), 909ffc9de54Swakafa ReqSourceField(), 910ffc9de54Swakafa VaddrField(VAddrBits - blockOffBits), 911d2945707SHuijin Li // IsKeywordField() 912ffc9de54Swakafa ) ++ cacheParams.aliasBitsOpt.map(AliasField) 913d2945707SHuijin Li val echoFields: Seq[BundleFieldBase] = Seq( 914d2945707SHuijin Li IsKeywordField() 915d2945707SHuijin Li ) 916ffc9de54Swakafa 9171f0e2dc7SJiawei Lin val clientParameters = TLMasterPortParameters.v1( 9181f0e2dc7SJiawei Lin Seq(TLMasterParameters.v1( 9191f0e2dc7SJiawei Lin name = "dcache", 920ad3ba452Szhanglinjuan sourceId = IdRange(0, nEntries + 1), 9211f0e2dc7SJiawei Lin supportsProbe = TransferSizes(cfg.blockBytes) 9221f0e2dc7SJiawei Lin )), 923ffc9de54Swakafa requestFields = reqFields, 924ffc9de54Swakafa echoFields = echoFields 9251f0e2dc7SJiawei Lin ) 9261f0e2dc7SJiawei Lin 9271f0e2dc7SJiawei Lin val clientNode = TLClientNode(Seq(clientParameters)) 92872dab974Scz4e val cacheCtrlOpt = cacheCtrlParamsOpt.map(params => LazyModule(new CtrlUnit(params))) 9291f0e2dc7SJiawei Lin 9301f0e2dc7SJiawei Lin lazy val module = new DCacheImp(this) 9311f0e2dc7SJiawei Lin} 9321f0e2dc7SJiawei Lin 9331f0e2dc7SJiawei Lin 9340d32f713Shappy-lxclass DCacheImp(outer: DCache) extends LazyModuleImp(outer) with HasDCacheParameters with HasPerfEvents with HasL1PrefetchSourceParameter { 9351f0e2dc7SJiawei Lin 9361f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 9371f0e2dc7SJiawei Lin 9381f0e2dc7SJiawei Lin val (bus, edge) = outer.clientNode.out.head 9391f0e2dc7SJiawei Lin require(bus.d.bits.data.getWidth == l1BusDataWidth, "DCache: tilelink width does not match") 9401f0e2dc7SJiawei Lin 9411f0e2dc7SJiawei Lin println("DCache:") 9421f0e2dc7SJiawei Lin println(" DCacheSets: " + DCacheSets) 9433eeae490SMaxpicca-Li println(" DCacheSetDiv: " + DCacheSetDiv) 9441f0e2dc7SJiawei Lin println(" DCacheWays: " + DCacheWays) 9451f0e2dc7SJiawei Lin println(" DCacheBanks: " + DCacheBanks) 9461f0e2dc7SJiawei Lin println(" DCacheSRAMRowBits: " + DCacheSRAMRowBits) 9471f0e2dc7SJiawei Lin println(" DCacheWordOffset: " + DCacheWordOffset) 9481f0e2dc7SJiawei Lin println(" DCacheBankOffset: " + DCacheBankOffset) 9491f0e2dc7SJiawei Lin println(" DCacheSetOffset: " + DCacheSetOffset) 9501f0e2dc7SJiawei Lin println(" DCacheTagOffset: " + DCacheTagOffset) 9511f0e2dc7SJiawei Lin println(" DCacheAboveIndexOffset: " + DCacheAboveIndexOffset) 9520d32f713Shappy-lx println(" DcacheMaxPrefetchEntry: " + MaxPrefetchEntry) 95304665835SMaxpicca-Li println(" WPUEnable: " + dwpuParam.enWPU) 95404665835SMaxpicca-Li println(" WPUEnableCfPred: " + dwpuParam.enCfPred) 95504665835SMaxpicca-Li println(" WPUAlgorithm: " + dwpuParam.algoName) 956e3ed843cShappy-lx println(" HasCMO: " + HasCMO) 9571f0e2dc7SJiawei Lin 9580d32f713Shappy-lx // Enable L1 Store prefetch 9590d32f713Shappy-lx val StorePrefetchL1Enabled = EnableStorePrefetchAtCommit || EnableStorePrefetchAtIssue || EnableStorePrefetchSPB 96046ba64e8Ssfencevma val MetaReadPort = 96146ba64e8Ssfencevma if (StorePrefetchL1Enabled) 96246ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt 96346ba64e8Ssfencevma else 96446ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.HyuCnt 96546ba64e8Ssfencevma val TagReadPort = 96646ba64e8Ssfencevma if (StorePrefetchL1Enabled) 96746ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt 96846ba64e8Ssfencevma else 96946ba64e8Ssfencevma 1 + backendParams.LduCnt + backendParams.HyuCnt 9700d32f713Shappy-lx 9710d32f713Shappy-lx // Enable L1 Load prefetch 9720d32f713Shappy-lx val LoadPrefetchL1Enabled = true 9730d32f713Shappy-lx val AccessArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 9740d32f713Shappy-lx val PrefetchArrayReadPort = if(LoadPrefetchL1Enabled) LoadPipelineWidth + 1 + 1 else LoadPipelineWidth + 1 9750d32f713Shappy-lx 9761f0e2dc7SJiawei Lin //---------------------------------------- 9771f0e2dc7SJiawei Lin // core data structures 97804665835SMaxpicca-Li val bankedDataArray = if(dwpuParam.enWPU) Module(new SramedDataArray) else Module(new BankedDataArray) 979ffd3154dSCharlieLiu val metaArray = Module(new L1CohMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1)) 980ffd3154dSCharlieLiu val errorArray = Module(new L1FlagMetaArray(readPorts = LoadPipelineWidth + 1, writePorts = 1)) 981ffd3154dSCharlieLiu val prefetchArray = Module(new L1PrefetchSourceArray(readPorts = PrefetchArrayReadPort, writePorts = 1 + LoadPipelineWidth)) // prefetch flag array 982ffd3154dSCharlieLiu val accessArray = Module(new L1FlagMetaArray(readPorts = AccessArrayReadPort, writePorts = LoadPipelineWidth + 1)) 9830d32f713Shappy-lx val tagArray = Module(new DuplicatedTagArray(readPorts = TagReadPort)) 9840d32f713Shappy-lx val prefetcherMonitor = Module(new PrefetcherMonitor) 9850d32f713Shappy-lx val fdpMonitor = Module(new FDPrefetcherMonitor) 9860d32f713Shappy-lx val bloomFilter = Module(new BloomFilter(BLOOM_FILTER_ENTRY_NUM, true)) 9870d32f713Shappy-lx val counterFilter = Module(new CounterFilter) 9881f0e2dc7SJiawei Lin bankedDataArray.dump() 9891f0e2dc7SJiawei Lin 9901f0e2dc7SJiawei Lin //---------------------------------------- 99108b0bc30Shappy-lx // miss queue 99208b0bc30Shappy-lx // missReqArb port: 99308b0bc30Shappy-lx // enableStorePrefetch: main pipe * 1 + load pipe * 2 + store pipe * 1 + 99408b0bc30Shappy-lx // hybrid * 1; disable: main pipe * 1 + load pipe * 2 + hybrid * 1 99508b0bc30Shappy-lx // higher priority is given to lower indices 99608b0bc30Shappy-lx val MissReqPortCount = if(StorePrefetchL1Enabled) 1 + backendParams.LduCnt + backendParams.StaCnt + backendParams.HyuCnt else 1 + backendParams.LduCnt + backendParams.HyuCnt 99708b0bc30Shappy-lx val MainPipeMissReqPort = 0 99808b0bc30Shappy-lx val HybridMissReqBase = MissReqPortCount - backendParams.HyuCnt 99908b0bc30Shappy-lx 100008b0bc30Shappy-lx //---------------------------------------- 10011f0e2dc7SJiawei Lin // core modules 100246ba64e8Ssfencevma val ldu = Seq.tabulate(LoadPipelineWidth)({ i => Module(new LoadPipe(i))}) 100346ba64e8Ssfencevma val stu = Seq.tabulate(StorePipelineWidth)({ i => Module(new StorePipe(i))}) 10041f0e2dc7SJiawei Lin val mainPipe = Module(new MainPipe) 1005ffd3154dSCharlieLiu // val refillPipe = Module(new RefillPipe) 100608b0bc30Shappy-lx val missQueue = Module(new MissQueue(edge, MissReqPortCount)) 10071f0e2dc7SJiawei Lin val probeQueue = Module(new ProbeQueue(edge)) 10081f0e2dc7SJiawei Lin val wb = Module(new WritebackQueue(edge)) 10091f0e2dc7SJiawei Lin 10100d32f713Shappy-lx missQueue.io.lqEmpty := io.lqEmpty 10115668a921SJiawei Lin missQueue.io.hartId := io.hartId 1012f1d78cf7SLinJiawei missQueue.io.l2_pf_store_only := RegNext(io.l2_pf_store_only, false.B) 101360ebee38STang Haojin missQueue.io.debugTopDown <> io.debugTopDown 1014ffd3154dSCharlieLiu missQueue.io.l2_hint <> RegNext(io.l2_hint) 1015ffd3154dSCharlieLiu missQueue.io.mainpipe_info := mainPipe.io.mainpipe_info 1016ffd3154dSCharlieLiu mainPipe.io.refill_info := missQueue.io.refill_info 10177ecd6591SCharlie Liu mainPipe.io.replace_block := missQueue.io.replace_block 1018ffd3154dSCharlieLiu mainPipe.io.sms_agt_evict_req <> io.sms_agt_evict_req 10190d32f713Shappy-lx io.memSetPattenDetected := missQueue.io.memSetPattenDetected 10205668a921SJiawei Lin 102172dab974Scz4e // l1 dcache controller 102272dab974Scz4e outer.cacheCtrlOpt.foreach { 102372dab974Scz4e case mod => 102472dab974Scz4e mod.module.io_pseudoError.foreach { 102572dab974Scz4e case x => x.ready := false.B 102672dab974Scz4e } 102772dab974Scz4e } 102872dab974Scz4e ldu.foreach { 102972dab974Scz4e case mod => 103072dab974Scz4e mod.io.pseudo_error.valid := false.B 103172dab974Scz4e mod.io.pseudo_error.bits := DontCare 103272dab974Scz4e } 103372dab974Scz4e mainPipe.io.pseudo_error.valid := false.B 103472dab974Scz4e mainPipe.io.pseudo_error.bits := DontCare 103572dab974Scz4e bankedDataArray.io.pseudo_error.valid := false.B 103672dab974Scz4e bankedDataArray.io.pseudo_error.bits := DontCare 103772dab974Scz4e 103872dab974Scz4e // pseudo tag ecc error 103972dab974Scz4e if (outer.cacheCtrlOpt.nonEmpty && EnableTagEcc) { 104072dab974Scz4e val ctrlUnit = outer.cacheCtrlOpt.head.module 104172dab974Scz4e ldu.map(mod => mod.io.pseudo_error <> ctrlUnit.io_pseudoError(0)) 104272dab974Scz4e mainPipe.io.pseudo_error <> ctrlUnit.io_pseudoError(0) 104372dab974Scz4e ctrlUnit.io_pseudoError(0).ready := mainPipe.io.pseudo_tag_error_inj_done || 104472dab974Scz4e ldu.map(_.io.pseudo_tag_error_inj_done).reduce(_|_) 104572dab974Scz4e } 104672dab974Scz4e 104772dab974Scz4e // pseudo data ecc error 104872dab974Scz4e if (outer.cacheCtrlOpt.nonEmpty && EnableDataEcc) { 104972dab974Scz4e val ctrlUnit = outer.cacheCtrlOpt.head.module 105072dab974Scz4e bankedDataArray.io.pseudo_error <> ctrlUnit.io_pseudoError(1) 105172dab974Scz4e ctrlUnit.io_pseudoError(1).ready := bankedDataArray.io.pseudo_error.ready && 105272dab974Scz4e (mainPipe.io.pseudo_data_error_inj_done || 105372dab974Scz4e ldu.map(_.io.pseudo_data_error_inj_done).reduce(_|_)) 105472dab974Scz4e } 105572dab974Scz4e 10569ef181f4SWilliam Wang val errors = ldu.map(_.io.error) ++ // load error 10579ef181f4SWilliam Wang Seq(mainPipe.io.error) // store / misc error 10580184a80eSYanqin Li val error_valid = errors.map(e => e.valid).reduce(_|_) 10590184a80eSYanqin Li io.error.bits <> RegEnable( 10600184a80eSYanqin Li Mux1H(errors.map(e => RegNext(e.valid) -> RegEnable(e.bits, e.valid))), 10610184a80eSYanqin Li RegNext(error_valid)) 10620184a80eSYanqin Li io.error.valid := RegNext(RegNext(error_valid, init = false.B), init = false.B) 1063dd95524eSzhanglinjuan 10641f0e2dc7SJiawei Lin //---------------------------------------- 10651f0e2dc7SJiawei Lin // meta array 106646ba64e8Ssfencevma val HybridLoadReadBase = LoadPipelineWidth - backendParams.HyuCnt 106746ba64e8Ssfencevma val HybridStoreReadBase = StorePipelineWidth - backendParams.HyuCnt 106846ba64e8Ssfencevma 106946ba64e8Ssfencevma val hybrid_meta_read_ports = Wire(Vec(backendParams.HyuCnt, DecoupledIO(new MetaReadReq))) 107046ba64e8Ssfencevma val hybrid_meta_resp_ports = Wire(Vec(backendParams.HyuCnt, ldu(0).io.meta_resp.cloneType)) 107146ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 107246ba64e8Ssfencevma val HybridLoadMetaReadPort = HybridLoadReadBase + i 107346ba64e8Ssfencevma val HybridStoreMetaReadPort = HybridStoreReadBase + i 107446ba64e8Ssfencevma 107546ba64e8Ssfencevma hybrid_meta_read_ports(i).valid := ldu(HybridLoadMetaReadPort).io.meta_read.valid || 107646ba64e8Ssfencevma (stu(HybridStoreMetaReadPort).io.meta_read.valid && StorePrefetchL1Enabled.B) 107746ba64e8Ssfencevma hybrid_meta_read_ports(i).bits := Mux(ldu(HybridLoadMetaReadPort).io.meta_read.valid, ldu(HybridLoadMetaReadPort).io.meta_read.bits, 107846ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_read.bits) 107946ba64e8Ssfencevma 108046ba64e8Ssfencevma ldu(HybridLoadMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready 108146ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_read.ready := hybrid_meta_read_ports(i).ready && StorePrefetchL1Enabled.B 108246ba64e8Ssfencevma 108346ba64e8Ssfencevma ldu(HybridLoadMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i) 108446ba64e8Ssfencevma stu(HybridStoreMetaReadPort).io.meta_resp := hybrid_meta_resp_ports(i) 108546ba64e8Ssfencevma } 10863af6aa6eSWilliam Wang 10873af6aa6eSWilliam Wang // read / write coh meta 108846ba64e8Ssfencevma val meta_read_ports = ldu.map(_.io.meta_read).take(HybridLoadReadBase) ++ 10890d32f713Shappy-lx Seq(mainPipe.io.meta_read) ++ 109046ba64e8Ssfencevma stu.map(_.io.meta_read).take(HybridStoreReadBase) ++ hybrid_meta_read_ports 10910d32f713Shappy-lx 109246ba64e8Ssfencevma val meta_resp_ports = ldu.map(_.io.meta_resp).take(HybridLoadReadBase) ++ 10930d32f713Shappy-lx Seq(mainPipe.io.meta_resp) ++ 109446ba64e8Ssfencevma stu.map(_.io.meta_resp).take(HybridStoreReadBase) ++ hybrid_meta_resp_ports 10950d32f713Shappy-lx 1096ad3ba452Szhanglinjuan val meta_write_ports = Seq( 1097ffd3154dSCharlieLiu mainPipe.io.meta_write 1098ffd3154dSCharlieLiu // refillPipe.io.meta_write 1099ad3ba452Szhanglinjuan ) 11000d32f713Shappy-lx if(StorePrefetchL1Enabled) { 1101ad3ba452Szhanglinjuan meta_read_ports.zip(metaArray.io.read).foreach { case (p, r) => r <> p } 1102ad3ba452Szhanglinjuan meta_resp_ports.zip(metaArray.io.resp).foreach { case (p, r) => p := r } 11030d32f713Shappy-lx } else { 110446ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 110546ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.read).foreach { case (p, r) => r <> p } 110646ba64e8Ssfencevma (meta_resp_ports.take(HybridLoadReadBase + 1) ++ 110746ba64e8Ssfencevma meta_resp_ports.takeRight(backendParams.HyuCnt)).zip(metaArray.io.resp).foreach { case (p, r) => p := r } 11080d32f713Shappy-lx 110946ba64e8Ssfencevma meta_read_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p.ready := false.B } 111046ba64e8Ssfencevma meta_resp_ports.drop(HybridLoadReadBase + 1).take(HybridStoreReadBase).foreach { case p => p := 0.U.asTypeOf(p) } 11110d32f713Shappy-lx } 1112ad3ba452Szhanglinjuan meta_write_ports.zip(metaArray.io.write).foreach { case (p, w) => w <> p } 11131f0e2dc7SJiawei Lin 11140d32f713Shappy-lx // read extra meta (exclude stu) 111546ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 111646ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(errorArray.io.read).foreach { case (p, r) => r <> p } 111746ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 111846ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(prefetchArray.io.read).foreach { case (p, r) => r <> p } 111946ba64e8Ssfencevma (meta_read_ports.take(HybridLoadReadBase + 1) ++ 112046ba64e8Ssfencevma meta_read_ports.takeRight(backendParams.HyuCnt)).zip(accessArray.io.read).foreach { case (p, r) => r <> p } 11215d9979bdSsfencevma val extra_meta_resp_ports = ldu.map(_.io.extra_meta_resp).take(HybridLoadReadBase) ++ 11225d9979bdSsfencevma Seq(mainPipe.io.extra_meta_resp) ++ 11235d9979bdSsfencevma ldu.map(_.io.extra_meta_resp).takeRight(backendParams.HyuCnt) 11243af6aa6eSWilliam Wang extra_meta_resp_ports.zip(errorArray.io.resp).foreach { case (p, r) => { 11253af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).error := r(i) }) 11263af6aa6eSWilliam Wang }} 11273af6aa6eSWilliam Wang extra_meta_resp_ports.zip(prefetchArray.io.resp).foreach { case (p, r) => { 11283af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).prefetch := r(i) }) 11293af6aa6eSWilliam Wang }} 11303af6aa6eSWilliam Wang extra_meta_resp_ports.zip(accessArray.io.resp).foreach { case (p, r) => { 11313af6aa6eSWilliam Wang (0 until nWays).map(i => { p(i).access := r(i) }) 11323af6aa6eSWilliam Wang }} 11333af6aa6eSWilliam Wang 11340d32f713Shappy-lx if(LoadPrefetchL1Enabled) { 11350d32f713Shappy-lx // use last port to read prefetch and access flag 1136ffd3154dSCharlieLiu// prefetchArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 1137ffd3154dSCharlieLiu// prefetchArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 1138ffd3154dSCharlieLiu// prefetchArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 1139ffd3154dSCharlieLiu// 1140ffd3154dSCharlieLiu// accessArray.io.read.last.valid := refillPipe.io.prefetch_flag_write.valid 1141ffd3154dSCharlieLiu// accessArray.io.read.last.bits.idx := refillPipe.io.prefetch_flag_write.bits.idx 1142ffd3154dSCharlieLiu// accessArray.io.read.last.bits.way_en := refillPipe.io.prefetch_flag_write.bits.way_en 1143ffd3154dSCharlieLiu prefetchArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid 1144ffd3154dSCharlieLiu prefetchArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx 1145ffd3154dSCharlieLiu prefetchArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en 11460d32f713Shappy-lx 1147ffd3154dSCharlieLiu accessArray.io.read.last.valid := mainPipe.io.prefetch_flag_write.valid 1148ffd3154dSCharlieLiu accessArray.io.read.last.bits.idx := mainPipe.io.prefetch_flag_write.bits.idx 1149ffd3154dSCharlieLiu accessArray.io.read.last.bits.way_en := mainPipe.io.prefetch_flag_write.bits.way_en 11500d32f713Shappy-lx 1151ffd3154dSCharlieLiu val extra_flag_valid = RegNext(mainPipe.io.prefetch_flag_write.valid) 1152ffd3154dSCharlieLiu val extra_flag_way_en = RegEnable(mainPipe.io.prefetch_flag_write.bits.way_en, mainPipe.io.prefetch_flag_write.valid) 11530d32f713Shappy-lx val extra_flag_prefetch = Mux1H(extra_flag_way_en, prefetchArray.io.resp.last) 11540d32f713Shappy-lx val extra_flag_access = Mux1H(extra_flag_way_en, accessArray.io.resp.last) 11550d32f713Shappy-lx 11566070f1e9Shappy-lx prefetcherMonitor.io.validity.good_prefetch := extra_flag_valid && isPrefetchRelated(extra_flag_prefetch) && extra_flag_access 11576070f1e9Shappy-lx prefetcherMonitor.io.validity.bad_prefetch := extra_flag_valid && isPrefetchRelated(extra_flag_prefetch) && !extra_flag_access 11580d32f713Shappy-lx } 11590d32f713Shappy-lx 11603af6aa6eSWilliam Wang // write extra meta 11613af6aa6eSWilliam Wang val error_flag_write_ports = Seq( 1162ffd3154dSCharlieLiu mainPipe.io.error_flag_write // error flag generated by corrupted store 1163ffd3154dSCharlieLiu // refillPipe.io.error_flag_write // corrupted signal from l2 11643af6aa6eSWilliam Wang ) 1165026615fcSWilliam Wang error_flag_write_ports.zip(errorArray.io.write).foreach { case (p, w) => w <> p } 1166026615fcSWilliam Wang 11670d32f713Shappy-lx val prefetch_flag_write_ports = ldu.map(_.io.prefetch_flag_write) ++ Seq( 1168ffd3154dSCharlieLiu mainPipe.io.prefetch_flag_write // set prefetch_flag to false if coh is set to Nothing 1169ffd3154dSCharlieLiu // refillPipe.io.prefetch_flag_write // refill required by prefetch will set prefetch_flag 11703af6aa6eSWilliam Wang ) 11713af6aa6eSWilliam Wang prefetch_flag_write_ports.zip(prefetchArray.io.write).foreach { case (p, w) => w <> p } 11723af6aa6eSWilliam Wang 117346ba64e8Ssfencevma // FIXME: add hybrid unit? 11740d32f713Shappy-lx val same_cycle_update_pf_flag = ldu(0).io.prefetch_flag_write.valid && ldu(1).io.prefetch_flag_write.valid && (ldu(0).io.prefetch_flag_write.bits.idx === ldu(1).io.prefetch_flag_write.bits.idx) && (ldu(0).io.prefetch_flag_write.bits.way_en === ldu(1).io.prefetch_flag_write.bits.way_en) 11750d32f713Shappy-lx XSPerfAccumulate("same_cycle_update_pf_flag", same_cycle_update_pf_flag) 11760d32f713Shappy-lx 11773af6aa6eSWilliam Wang val access_flag_write_ports = ldu.map(_.io.access_flag_write) ++ Seq( 1178ffd3154dSCharlieLiu mainPipe.io.access_flag_write 1179ffd3154dSCharlieLiu // refillPipe.io.access_flag_write 11803af6aa6eSWilliam Wang ) 11813af6aa6eSWilliam Wang access_flag_write_ports.zip(accessArray.io.write).foreach { case (p, w) => w <> p } 11823af6aa6eSWilliam Wang 1183ad3ba452Szhanglinjuan //---------------------------------------- 1184ad3ba452Szhanglinjuan // tag array 11850d32f713Shappy-lx if(StorePrefetchL1Enabled) { 118646ba64e8Ssfencevma require(tagArray.io.read.size == (LoadPipelineWidth + StorePipelineWidth - backendParams.HyuCnt + 1)) 11870d32f713Shappy-lx }else { 118846ba64e8Ssfencevma require(tagArray.io.read.size == (LoadPipelineWidth + 1)) 11890d32f713Shappy-lx } 1190ffd3154dSCharlieLiu // val tag_write_intend = missQueue.io.refill_pipe_req.valid || mainPipe.io.tag_write_intend 1191ffd3154dSCharlieLiu val tag_write_intend = mainPipe.io.tag_write_intend 119209ae47d2SWilliam Wang assert(!RegNext(!tag_write_intend && tagArray.io.write.valid)) 119346ba64e8Ssfencevma ldu.take(HybridLoadReadBase).zipWithIndex.foreach { 1194ad3ba452Szhanglinjuan case (ld, i) => 1195ad3ba452Szhanglinjuan tagArray.io.read(i) <> ld.io.tag_read 1196ad3ba452Szhanglinjuan ld.io.tag_resp := tagArray.io.resp(i) 119709ae47d2SWilliam Wang ld.io.tag_read.ready := !tag_write_intend 11981f0e2dc7SJiawei Lin } 11990d32f713Shappy-lx if(StorePrefetchL1Enabled) { 120046ba64e8Ssfencevma stu.take(HybridStoreReadBase).zipWithIndex.foreach { 12010d32f713Shappy-lx case (st, i) => 120246ba64e8Ssfencevma tagArray.io.read(HybridLoadReadBase + i) <> st.io.tag_read 120346ba64e8Ssfencevma st.io.tag_resp := tagArray.io.resp(HybridLoadReadBase + i) 12040d32f713Shappy-lx st.io.tag_read.ready := !tag_write_intend 12050d32f713Shappy-lx } 12060d32f713Shappy-lx }else { 12070d32f713Shappy-lx stu.foreach { 12080d32f713Shappy-lx case st => 12090d32f713Shappy-lx st.io.tag_read.ready := false.B 12100d32f713Shappy-lx st.io.tag_resp := 0.U.asTypeOf(st.io.tag_resp) 12110d32f713Shappy-lx } 12120d32f713Shappy-lx } 121346ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 121446ba64e8Ssfencevma val HybridLoadTagReadPort = HybridLoadReadBase + i 121546ba64e8Ssfencevma val HybridStoreTagReadPort = HybridStoreReadBase + i 121646ba64e8Ssfencevma val TagReadPort = 121746ba64e8Ssfencevma if (EnableStorePrefetchSPB) 121846ba64e8Ssfencevma HybridLoadReadBase + HybridStoreReadBase + i 121946ba64e8Ssfencevma else 122046ba64e8Ssfencevma HybridLoadReadBase + i 122146ba64e8Ssfencevma 122246ba64e8Ssfencevma // read tag 122346ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := false.B 122446ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_read.ready := false.B 122546ba64e8Ssfencevma 122646ba64e8Ssfencevma if (StorePrefetchL1Enabled) { 122746ba64e8Ssfencevma when (ldu(HybridLoadTagReadPort).io.tag_read.valid) { 122846ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read 122946ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend 123046ba64e8Ssfencevma } .otherwise { 123146ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> stu(HybridStoreTagReadPort).io.tag_read 123246ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_read.ready := !tag_write_intend 123346ba64e8Ssfencevma } 123446ba64e8Ssfencevma } else { 123546ba64e8Ssfencevma tagArray.io.read(TagReadPort) <> ldu(HybridLoadTagReadPort).io.tag_read 123646ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_read.ready := !tag_write_intend 123746ba64e8Ssfencevma } 123846ba64e8Ssfencevma 123946ba64e8Ssfencevma // tag resp 124046ba64e8Ssfencevma ldu(HybridLoadTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort) 124146ba64e8Ssfencevma stu(HybridStoreTagReadPort).io.tag_resp := tagArray.io.resp(TagReadPort) 124246ba64e8Ssfencevma } 1243ad3ba452Szhanglinjuan tagArray.io.read.last <> mainPipe.io.tag_read 1244ad3ba452Szhanglinjuan mainPipe.io.tag_resp := tagArray.io.resp.last 1245ad3ba452Szhanglinjuan 124609ae47d2SWilliam Wang val fake_tag_read_conflict_this_cycle = PopCount(ldu.map(ld=> ld.io.tag_read.valid)) 124709ae47d2SWilliam Wang XSPerfAccumulate("fake_tag_read_conflict", fake_tag_read_conflict_this_cycle) 124809ae47d2SWilliam Wang 1249ffd3154dSCharlieLiu val tag_write_arb = Module(new Arbiter(new TagWriteReq, 1)) 1250ffd3154dSCharlieLiu // tag_write_arb.io.in(0) <> refillPipe.io.tag_write 1251ffd3154dSCharlieLiu tag_write_arb.io.in(0) <> mainPipe.io.tag_write 1252ad3ba452Szhanglinjuan tagArray.io.write <> tag_write_arb.io.out 12531f0e2dc7SJiawei Lin 125404665835SMaxpicca-Li ldu.map(m => { 125504665835SMaxpicca-Li m.io.vtag_update.valid := tagArray.io.write.valid 125604665835SMaxpicca-Li m.io.vtag_update.bits := tagArray.io.write.bits 125704665835SMaxpicca-Li }) 125804665835SMaxpicca-Li 12591f0e2dc7SJiawei Lin //---------------------------------------- 12601f0e2dc7SJiawei Lin // data array 1261d2b20d1aSTang Haojin mainPipe.io.data_read.zip(ldu).map(x => x._1 := x._2.io.lsu.req.valid) 12621f0e2dc7SJiawei Lin 1263ffd3154dSCharlieLiu val dataWriteArb = Module(new Arbiter(new L1BankedDataWriteReq, 1)) 1264ffd3154dSCharlieLiu // dataWriteArb.io.in(0) <> refillPipe.io.data_write 1265ffd3154dSCharlieLiu dataWriteArb.io.in(0) <> mainPipe.io.data_write 1266ad3ba452Szhanglinjuan 1267ad3ba452Szhanglinjuan bankedDataArray.io.write <> dataWriteArb.io.out 12681f0e2dc7SJiawei Lin 12696c7e5e86Szhanglinjuan for (bank <- 0 until DCacheBanks) { 1270ffd3154dSCharlieLiu val dataWriteArb_dup = Module(new Arbiter(new L1BankedDataWriteReqCtrl, 1)) 1271ffd3154dSCharlieLiu // dataWriteArb_dup.io.in(0).valid := refillPipe.io.data_write_dup(bank).valid 1272ffd3154dSCharlieLiu // dataWriteArb_dup.io.in(0).bits := refillPipe.io.data_write_dup(bank).bits 1273ffd3154dSCharlieLiu dataWriteArb_dup.io.in(0).valid := mainPipe.io.data_write_dup(bank).valid 1274ffd3154dSCharlieLiu dataWriteArb_dup.io.in(0).bits := mainPipe.io.data_write_dup(bank).bits 12756c7e5e86Szhanglinjuan 12766c7e5e86Szhanglinjuan bankedDataArray.io.write_dup(bank) <> dataWriteArb_dup.io.out 12776c7e5e86Szhanglinjuan } 12786c7e5e86Szhanglinjuan 1279d2b20d1aSTang Haojin bankedDataArray.io.readline <> mainPipe.io.data_readline 12807a5caa97Szhanglinjuan bankedDataArray.io.readline_intend := mainPipe.io.data_read_intend 12816786cfb7SWilliam Wang mainPipe.io.readline_error_delayed := bankedDataArray.io.readline_error_delayed 1282144422dcSMaxpicca-Li mainPipe.io.data_resp := bankedDataArray.io.readline_resp 12831f0e2dc7SJiawei Lin 12849ef181f4SWilliam Wang (0 until LoadPipelineWidth).map(i => { 12859ef181f4SWilliam Wang bankedDataArray.io.read(i) <> ldu(i).io.banked_data_read 1286cdbff57cSHaoyuan Feng bankedDataArray.io.is128Req(i) <> ldu(i).io.is128Req 12876786cfb7SWilliam Wang bankedDataArray.io.read_error_delayed(i) <> ldu(i).io.read_error_delayed 12889ef181f4SWilliam Wang 1289d4564868Sweiding liu ldu(i).io.banked_data_resp := bankedDataArray.io.read_resp(i) 1290144422dcSMaxpicca-Li 12919ef181f4SWilliam Wang ldu(i).io.bank_conflict_slow := bankedDataArray.io.bank_conflict_slow(i) 12929ef181f4SWilliam Wang }) 1293066ca249Szhanglinjuan 1294774f100aSWilliam Wang (0 until LoadPipelineWidth).map(i => { 1295683c1411Shappy-lx when(bus.d.bits.opcode === TLMessages.GrantData) { 1296066ca249Szhanglinjuan io.lsu.forward_D(i).apply(bus.d, edge) 1297683c1411Shappy-lx }.otherwise { 1298683c1411Shappy-lx io.lsu.forward_D(i).dontCare() 1299683c1411Shappy-lx } 1300683c1411Shappy-lx }) 13019444e131Ssfencevma // tl D channel wakeup 13029444e131Ssfencevma when (bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.Grant) { 1303066ca249Szhanglinjuan io.lsu.tl_d_channel.apply(bus.d, edge) 13049444e131Ssfencevma } .otherwise { 13059444e131Ssfencevma io.lsu.tl_d_channel.dontCare() 13069444e131Ssfencevma } 13072fdb4d6aShappy-lx mainPipe.io.force_write <> io.force_write 1308683c1411Shappy-lx 130904665835SMaxpicca-Li /** dwpu */ 13104a0e27ecSYanqin Li if (dwpuParam.enWPU) { 131104665835SMaxpicca-Li val dwpu = Module(new DCacheWpuWrapper(LoadPipelineWidth)) 131204665835SMaxpicca-Li for(i <- 0 until LoadPipelineWidth){ 131304665835SMaxpicca-Li dwpu.io.req(i) <> ldu(i).io.dwpu.req(0) 131404665835SMaxpicca-Li dwpu.io.resp(i) <> ldu(i).io.dwpu.resp(0) 131504665835SMaxpicca-Li dwpu.io.lookup_upd(i) <> ldu(i).io.dwpu.lookup_upd(0) 131604665835SMaxpicca-Li dwpu.io.cfpred(i) <> ldu(i).io.dwpu.cfpred(0) 131704665835SMaxpicca-Li } 131804665835SMaxpicca-Li dwpu.io.tagwrite_upd.valid := tagArray.io.write.valid 131904665835SMaxpicca-Li dwpu.io.tagwrite_upd.bits.vaddr := tagArray.io.write.bits.vaddr 132004665835SMaxpicca-Li dwpu.io.tagwrite_upd.bits.s1_real_way_en := tagArray.io.write.bits.way_en 13214a0e27ecSYanqin Li } else { 13224a0e27ecSYanqin Li for(i <- 0 until LoadPipelineWidth){ 13234a0e27ecSYanqin Li ldu(i).io.dwpu.req(0).ready := true.B 13244a0e27ecSYanqin Li ldu(i).io.dwpu.resp(0).valid := false.B 13254a0e27ecSYanqin Li ldu(i).io.dwpu.resp(0).bits := DontCare 13264a0e27ecSYanqin Li } 13274a0e27ecSYanqin Li } 132804665835SMaxpicca-Li 13291f0e2dc7SJiawei Lin //---------------------------------------- 13301f0e2dc7SJiawei Lin // load pipe 13311f0e2dc7SJiawei Lin // the s1 kill signal 13321f0e2dc7SJiawei Lin // only lsu uses this, replay never kills 13331f0e2dc7SJiawei Lin for (w <- 0 until LoadPipelineWidth) { 13341f0e2dc7SJiawei Lin ldu(w).io.lsu <> io.lsu.load(w) 13351f0e2dc7SJiawei Lin 1336cdbff57cSHaoyuan Feng // TODO:when have load128Req 133700e6f2e2Sweiding liu ldu(w).io.load128Req := io.lsu.load(w).is128Req 1338cdbff57cSHaoyuan Feng 13391f0e2dc7SJiawei Lin // replay and nack not needed anymore 13401f0e2dc7SJiawei Lin // TODO: remove replay and nack 13411f0e2dc7SJiawei Lin ldu(w).io.nack := false.B 13421f0e2dc7SJiawei Lin 13431f0e2dc7SJiawei Lin ldu(w).io.disable_ld_fast_wakeup := 13447a5caa97Szhanglinjuan bankedDataArray.io.disable_ld_fast_wakeup(w) // load pipe fast wake up should be disabled when bank conflict 13451f0e2dc7SJiawei Lin } 13461f0e2dc7SJiawei Lin 13470d32f713Shappy-lx prefetcherMonitor.io.timely.total_prefetch := ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) 13480d32f713Shappy-lx prefetcherMonitor.io.timely.late_hit_prefetch := ldu.map(_.io.prefetch_info.naive.late_hit_prefetch).reduce(_ || _) 13490d32f713Shappy-lx prefetcherMonitor.io.timely.late_miss_prefetch := missQueue.io.prefetch_info.naive.late_miss_prefetch 13500d32f713Shappy-lx prefetcherMonitor.io.timely.prefetch_hit := PopCount(ldu.map(_.io.prefetch_info.naive.prefetch_hit)) 13510d32f713Shappy-lx io.pf_ctrl <> prefetcherMonitor.io.pf_ctrl 13520d32f713Shappy-lx XSPerfAccumulate("useless_prefetch", ldu.map(_.io.prefetch_info.naive.total_prefetch).reduce(_ || _) && !(ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _))) 13530d32f713Shappy-lx XSPerfAccumulate("useful_prefetch", ldu.map(_.io.prefetch_info.naive.useful_prefetch).reduce(_ || _)) 13540d32f713Shappy-lx XSPerfAccumulate("late_prefetch_hit", ldu.map(_.io.prefetch_info.naive.late_prefetch_hit).reduce(_ || _)) 13550d32f713Shappy-lx XSPerfAccumulate("late_load_hit", ldu.map(_.io.prefetch_info.naive.late_load_hit).reduce(_ || _)) 13560d32f713Shappy-lx 1357da3bf434SMaxpicca-Li /** LoadMissDB: record load miss state */ 1358c686adcdSYinan Xu val hartId = p(XSCoreParamsKey).HartId 1359c686adcdSYinan Xu val isWriteLoadMissTable = Constantin.createRecord(s"isWriteLoadMissTable$hartId") 1360c686adcdSYinan Xu val isFirstHitWrite = Constantin.createRecord(s"isFirstHitWrite$hartId") 1361c686adcdSYinan Xu val tableName = s"LoadMissDB$hartId" 1362c686adcdSYinan Xu val siteName = s"DcacheWrapper$hartId" 1363da3bf434SMaxpicca-Li val loadMissTable = ChiselDB.createTable(tableName, new LoadMissEntry) 1364da3bf434SMaxpicca-Li for( i <- 0 until LoadPipelineWidth){ 1365da3bf434SMaxpicca-Li val loadMissEntry = Wire(new LoadMissEntry) 1366da3bf434SMaxpicca-Li val loadMissWriteEn = 1367da3bf434SMaxpicca-Li (!ldu(i).io.lsu.resp.bits.replay && ldu(i).io.miss_req.fire) || 1368da3bf434SMaxpicca-Li (ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid && isFirstHitWrite.orR) 1369da3bf434SMaxpicca-Li loadMissEntry.timeCnt := GTimer() 1370da3bf434SMaxpicca-Li loadMissEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 1371da3bf434SMaxpicca-Li loadMissEntry.paddr := ldu(i).io.miss_req.bits.addr 1372da3bf434SMaxpicca-Li loadMissEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 1373da3bf434SMaxpicca-Li loadMissEntry.missState := OHToUInt(Cat(Seq( 1374da3bf434SMaxpicca-Li ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 1375da3bf434SMaxpicca-Li ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 1376da3bf434SMaxpicca-Li ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 1377da3bf434SMaxpicca-Li ))) 1378da3bf434SMaxpicca-Li loadMissTable.log( 1379da3bf434SMaxpicca-Li data = loadMissEntry, 1380da3bf434SMaxpicca-Li en = isWriteLoadMissTable.orR && loadMissWriteEn, 1381da3bf434SMaxpicca-Li site = siteName, 1382da3bf434SMaxpicca-Li clock = clock, 1383da3bf434SMaxpicca-Li reset = reset 1384da3bf434SMaxpicca-Li ) 1385da3bf434SMaxpicca-Li } 1386da3bf434SMaxpicca-Li 1387c686adcdSYinan Xu val isWriteLoadAccessTable = Constantin.createRecord(s"isWriteLoadAccessTable$hartId") 1388c686adcdSYinan Xu val loadAccessTable = ChiselDB.createTable(s"LoadAccessDB$hartId", new LoadAccessEntry) 138904665835SMaxpicca-Li for (i <- 0 until LoadPipelineWidth) { 139004665835SMaxpicca-Li val loadAccessEntry = Wire(new LoadAccessEntry) 139104665835SMaxpicca-Li loadAccessEntry.timeCnt := GTimer() 139204665835SMaxpicca-Li loadAccessEntry.robIdx := ldu(i).io.lsu.resp.bits.debug_robIdx 139304665835SMaxpicca-Li loadAccessEntry.paddr := ldu(i).io.miss_req.bits.addr 139404665835SMaxpicca-Li loadAccessEntry.vaddr := ldu(i).io.miss_req.bits.vaddr 139504665835SMaxpicca-Li loadAccessEntry.missState := OHToUInt(Cat(Seq( 139604665835SMaxpicca-Li ldu(i).io.miss_req.fire & ldu(i).io.miss_resp.merged, 139704665835SMaxpicca-Li ldu(i).io.miss_req.fire & !ldu(i).io.miss_resp.merged, 139804665835SMaxpicca-Li ldu(i).io.lsu.s2_first_hit && ldu(i).io.lsu.resp.valid 139904665835SMaxpicca-Li ))) 140004665835SMaxpicca-Li loadAccessEntry.pred_way_num := ldu(i).io.lsu.debug_s2_pred_way_num 140104665835SMaxpicca-Li loadAccessEntry.real_way_num := ldu(i).io.lsu.debug_s2_real_way_num 140204665835SMaxpicca-Li loadAccessEntry.dm_way_num := ldu(i).io.lsu.debug_s2_dm_way_num 140304665835SMaxpicca-Li loadAccessTable.log( 140404665835SMaxpicca-Li data = loadAccessEntry, 140504665835SMaxpicca-Li en = isWriteLoadAccessTable.orR && ldu(i).io.lsu.resp.valid, 140604665835SMaxpicca-Li site = siteName + "_loadpipe" + i.toString, 140704665835SMaxpicca-Li clock = clock, 140804665835SMaxpicca-Li reset = reset 140904665835SMaxpicca-Li ) 141004665835SMaxpicca-Li } 141104665835SMaxpicca-Li 14121f0e2dc7SJiawei Lin //---------------------------------------- 14130d32f713Shappy-lx // Sta pipe 141446ba64e8Ssfencevma for (w <- 0 until StorePipelineWidth) { 14150d32f713Shappy-lx stu(w).io.lsu <> io.lsu.sta(w) 14160d32f713Shappy-lx } 14170d32f713Shappy-lx 14180d32f713Shappy-lx //---------------------------------------- 14191f0e2dc7SJiawei Lin // atomics 14201f0e2dc7SJiawei Lin // atomics not finished yet 14215adc4829SYanqin Li val atomic_resp_valid = mainPipe.io.atomic_resp.valid && mainPipe.io.atomic_resp.bits.isAMO 14225adc4829SYanqin Li io.lsu.atomics.resp.valid := RegNext(atomic_resp_valid) 14235adc4829SYanqin Li io.lsu.atomics.resp.bits := RegEnable(mainPipe.io.atomic_resp.bits, atomic_resp_valid) 142462cb71fbShappy-lx io.lsu.atomics.block_lr := mainPipe.io.block_lr 14251f0e2dc7SJiawei Lin 14261f0e2dc7SJiawei Lin // Request 142708b0bc30Shappy-lx val missReqArb = Module(new TreeArbiter(new MissReq, MissReqPortCount)) 142808b0bc30Shappy-lx // seperately generating miss queue enq ready for better timeing 142908b0bc30Shappy-lx val missReadyGen = Module(new MissReadyGen(MissReqPortCount)) 14301f0e2dc7SJiawei Lin 1431a98b054bSWilliam Wang missReqArb.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 143208b0bc30Shappy-lx missReadyGen.io.in(MainPipeMissReqPort) <> mainPipe.io.miss_req 143308b0bc30Shappy-lx for (w <- 0 until backendParams.LduCnt) { 143408b0bc30Shappy-lx missReqArb.io.in(w + 1) <> ldu(w).io.miss_req 143508b0bc30Shappy-lx missReadyGen.io.in(w + 1) <> ldu(w).io.miss_req 143608b0bc30Shappy-lx } 14371f0e2dc7SJiawei Lin 1438fa9ac9b6SWilliam Wang for (w <- 0 until LoadPipelineWidth) { ldu(w).io.miss_resp := missQueue.io.resp } 1439fa9ac9b6SWilliam Wang mainPipe.io.miss_resp := missQueue.io.resp 1440683c1411Shappy-lx 14410d32f713Shappy-lx if(StorePrefetchL1Enabled) { 144208b0bc30Shappy-lx for (w <- 0 until backendParams.StaCnt) { 144308b0bc30Shappy-lx missReqArb.io.in(1 + backendParams.LduCnt + w) <> stu(w).io.miss_req 144408b0bc30Shappy-lx missReadyGen.io.in(1 + backendParams.LduCnt + w) <> stu(w).io.miss_req 144508b0bc30Shappy-lx } 14460d32f713Shappy-lx }else { 1447d7739d95Ssfencevma for (w <- 0 until backendParams.StaCnt) { stu(w).io.miss_req.ready := false.B } 14480d32f713Shappy-lx } 14490d32f713Shappy-lx 145046ba64e8Ssfencevma for (i <- 0 until backendParams.HyuCnt) { 145146ba64e8Ssfencevma val HybridLoadReqPort = HybridLoadReadBase + i 145246ba64e8Ssfencevma val HybridStoreReqPort = HybridStoreReadBase + i 145346ba64e8Ssfencevma val HybridMissReqPort = HybridMissReqBase + i 145446ba64e8Ssfencevma 145546ba64e8Ssfencevma ldu(HybridLoadReqPort).io.miss_req.ready := false.B 145646ba64e8Ssfencevma stu(HybridStoreReqPort).io.miss_req.ready := false.B 145746ba64e8Ssfencevma 145846ba64e8Ssfencevma if (StorePrefetchL1Enabled) { 145946ba64e8Ssfencevma when (ldu(HybridLoadReqPort).io.miss_req.valid) { 146046ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 146108b0bc30Shappy-lx missReadyGen.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 146246ba64e8Ssfencevma } .otherwise { 146346ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> stu(HybridStoreReqPort).io.miss_req 146408b0bc30Shappy-lx missReadyGen.io.in(HybridMissReqPort) <> stu(HybridStoreReqPort).io.miss_req 146546ba64e8Ssfencevma } 146646ba64e8Ssfencevma } else { 146746ba64e8Ssfencevma missReqArb.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 146808b0bc30Shappy-lx missReadyGen.io.in(HybridMissReqPort) <> ldu(HybridLoadReqPort).io.miss_req 146946ba64e8Ssfencevma } 147046ba64e8Ssfencevma } 147146ba64e8Ssfencevma 147208b0bc30Shappy-lx for(w <- 0 until LoadPipelineWidth) { 147308b0bc30Shappy-lx wb.io.miss_req_conflict_check(w) := ldu(w).io.wbq_conflict_check 147408b0bc30Shappy-lx ldu(w).io.wbq_block_miss_req := wb.io.block_miss_req(w) 147508b0bc30Shappy-lx } 147646ba64e8Ssfencevma 147708b0bc30Shappy-lx wb.io.miss_req_conflict_check(3) := mainPipe.io.wbq_conflict_check 147808b0bc30Shappy-lx mainPipe.io.wbq_block_miss_req := wb.io.block_miss_req(3) 14791f0e2dc7SJiawei Lin 148008b0bc30Shappy-lx wb.io.miss_req_conflict_check(4).valid := missReqArb.io.out.valid 148108b0bc30Shappy-lx wb.io.miss_req_conflict_check(4).bits := missReqArb.io.out.bits.addr 148208b0bc30Shappy-lx missQueue.io.wbq_block_miss_req := wb.io.block_miss_req(4) 148308b0bc30Shappy-lx 1484a98b054bSWilliam Wang missReqArb.io.out <> missQueue.io.req 148508b0bc30Shappy-lx missReadyGen.io.queryMQ <> missQueue.io.queryMQ 1486dc4fac13SCharlieLiu io.cmoOpReq <> missQueue.io.cmo_req 1487dc4fac13SCharlieLiu io.cmoOpResp <> missQueue.io.cmo_resp 14881f0e2dc7SJiawei Lin 1489e50f3145Ssfencevma for (w <- 0 until LoadPipelineWidth) { ldu(w).io.mq_enq_cancel := missQueue.io.mq_enq_cancel } 1490e50f3145Ssfencevma 14916008d57dShappy-lx XSPerfAccumulate("miss_queue_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) >= 1.U) 14926008d57dShappy-lx XSPerfAccumulate("miss_queue_muti_fire", PopCount(VecInit(missReqArb.io.in.map(_.fire))) > 1.U) 14936b5c3d02Shappy-lx 14946b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) >= 1.U) 14956b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_muti_enq_req", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U) 14966b5c3d02Shappy-lx XSPerfAccumulate("miss_queue_has_muti_enq_but_not_fire", PopCount(VecInit(missReqArb.io.in.map(_.valid))) > 1.U && PopCount(VecInit(missReqArb.io.in.map(_.fire))) === 0.U) 14976008d57dShappy-lx 1498683c1411Shappy-lx // forward missqueue 1499683c1411Shappy-lx (0 until LoadPipelineWidth).map(i => io.lsu.forward_mshr(i).connect(missQueue.io.forward(i))) 1500683c1411Shappy-lx 15011f0e2dc7SJiawei Lin // refill to load queue 1502692e2fafSHuijin Li // io.lsu.lsq <> missQueue.io.refill_to_ldq 15031f0e2dc7SJiawei Lin 15041f0e2dc7SJiawei Lin // tilelink stuff 15051f0e2dc7SJiawei Lin bus.a <> missQueue.io.mem_acquire 15061f0e2dc7SJiawei Lin bus.e <> missQueue.io.mem_finish 1507ad3ba452Szhanglinjuan missQueue.io.probe_addr := bus.b.bits.address 15087ecd6591SCharlie Liu missQueue.io.replace_addr := mainPipe.io.replace_addr 1509ad3ba452Szhanglinjuan 15105adc4829SYanqin Li missQueue.io.main_pipe_resp.valid := RegNext(mainPipe.io.atomic_resp.valid) 15115adc4829SYanqin Li missQueue.io.main_pipe_resp.bits := RegEnable(mainPipe.io.atomic_resp.bits, mainPipe.io.atomic_resp.valid) 15121f0e2dc7SJiawei Lin 15131f0e2dc7SJiawei Lin //---------------------------------------- 15141f0e2dc7SJiawei Lin // probe 15151f0e2dc7SJiawei Lin // probeQueue.io.mem_probe <> bus.b 15161f0e2dc7SJiawei Lin block_decoupled(bus.b, probeQueue.io.mem_probe, missQueue.io.probe_block) 1517ad3ba452Szhanglinjuan probeQueue.io.lrsc_locked_block <> mainPipe.io.lrsc_locked_block 1518300ded30SWilliam Wang probeQueue.io.update_resv_set <> mainPipe.io.update_resv_set 15191f0e2dc7SJiawei Lin 1520ffd3154dSCharlieLiu val refill_req = RegNext(missQueue.io.main_pipe_req.valid && ((missQueue.io.main_pipe_req.bits.isLoad) | (missQueue.io.main_pipe_req.bits.isStore))) 15211f0e2dc7SJiawei Lin //---------------------------------------- 15221f0e2dc7SJiawei Lin // mainPipe 1523ad3ba452Szhanglinjuan // when a req enters main pipe, if it is set-conflict with replace pipe or refill pipe, 1524ad3ba452Szhanglinjuan // block the req in main pipe 1525be007c1eSCharlieLiu probeQueue.io.pipe_req <> mainPipe.io.probe_req 1526be007c1eSCharlieLiu io.lsu.store.req <> mainPipe.io.store_req 15271f0e2dc7SJiawei Lin 15285adc4829SYanqin Li io.lsu.store.replay_resp.valid := RegNext(mainPipe.io.store_replay_resp.valid) 15295adc4829SYanqin Li io.lsu.store.replay_resp.bits := RegEnable(mainPipe.io.store_replay_resp.bits, mainPipe.io.store_replay_resp.valid) 1530ad3ba452Szhanglinjuan io.lsu.store.main_pipe_hit_resp := mainPipe.io.store_hit_resp 15311f0e2dc7SJiawei Lin 1532ffd3154dSCharlieLiu mainPipe.io.atomic_req <> io.lsu.atomics.req 15331f0e2dc7SJiawei Lin 1534d67c873fSzhanglinjuan mainPipe.io.invalid_resv_set := RegNext( 1535d67c873fSzhanglinjuan wb.io.req.fire && 1536d67c873fSzhanglinjuan wb.io.req.bits.addr === mainPipe.io.lrsc_locked_block.bits && 1537d67c873fSzhanglinjuan mainPipe.io.lrsc_locked_block.valid 1538d67c873fSzhanglinjuan ) 15391f0e2dc7SJiawei Lin 1540ad3ba452Szhanglinjuan //---------------------------------------- 1541b36dd5fdSWilliam Wang // replace (main pipe) 1542ad3ba452Szhanglinjuan val mpStatus = mainPipe.io.status 1543ffd3154dSCharlieLiu mainPipe.io.refill_req <> missQueue.io.main_pipe_req 15441f0e2dc7SJiawei Lin 1545ffd3154dSCharlieLiu mainPipe.io.data_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B)) 1546ffd3154dSCharlieLiu mainPipe.io.tag_write_ready_dup := VecInit(Seq.fill(nDupDataWriteReady)(true.B)) 1547c3a5fe5fShappy-lx mainPipe.io.wb_ready_dup := wb.io.req_ready_dup 1548c3a5fe5fShappy-lx 15491f0e2dc7SJiawei Lin //---------------------------------------- 15501f0e2dc7SJiawei Lin // wb 15511f0e2dc7SJiawei Lin // add a queue between MainPipe and WritebackUnit to reduce MainPipe stalls due to WritebackUnit busy 1552026615fcSWilliam Wang 1553578c21a4Szhanglinjuan wb.io.req <> mainPipe.io.wb 15541f0e2dc7SJiawei Lin bus.c <> wb.io.mem_release 1555ffd3154dSCharlieLiu // wb.io.release_wakeup := refillPipe.io.release_wakeup 1556ffd3154dSCharlieLiu // wb.io.release_update := mainPipe.io.release_update 1557ffd3154dSCharlieLiu //wb.io.probe_ttob_check_req <> mainPipe.io.probe_ttob_check_req 1558ffd3154dSCharlieLiu //wb.io.probe_ttob_check_resp <> mainPipe.io.probe_ttob_check_resp 1559ef3b5b96SWilliam Wang 1560935edac4STang Haojin io.lsu.release.valid := RegNext(wb.io.req.fire) 15615adc4829SYanqin Li io.lsu.release.bits.paddr := RegEnable(wb.io.req.bits.addr, wb.io.req.fire) 1562ef3b5b96SWilliam Wang // Note: RegNext() is required by: 1563ef3b5b96SWilliam Wang // * load queue released flag update logic 1564ef3b5b96SWilliam Wang // * load / load violation check logic 1565ef3b5b96SWilliam Wang // * and timing requirements 1566ef3b5b96SWilliam Wang // CHANGE IT WITH CARE 15671f0e2dc7SJiawei Lin 15681f0e2dc7SJiawei Lin // connect bus d 15691f0e2dc7SJiawei Lin missQueue.io.mem_grant.valid := false.B 15701f0e2dc7SJiawei Lin missQueue.io.mem_grant.bits := DontCare 15711f0e2dc7SJiawei Lin 15721f0e2dc7SJiawei Lin wb.io.mem_grant.valid := false.B 15731f0e2dc7SJiawei Lin wb.io.mem_grant.bits := DontCare 15741f0e2dc7SJiawei Lin 15751f0e2dc7SJiawei Lin // in L1DCache, we ony expect Grant[Data] and ReleaseAck 15761f0e2dc7SJiawei Lin bus.d.ready := false.B 1577dc4fac13SCharlieLiu when (bus.d.bits.opcode === TLMessages.Grant || bus.d.bits.opcode === TLMessages.GrantData || bus.d.bits.opcode === TLMessages.CBOAck) { 15781f0e2dc7SJiawei Lin missQueue.io.mem_grant <> bus.d 15791f0e2dc7SJiawei Lin } .elsewhen (bus.d.bits.opcode === TLMessages.ReleaseAck) { 15801f0e2dc7SJiawei Lin wb.io.mem_grant <> bus.d 15811f0e2dc7SJiawei Lin } .otherwise { 1582935edac4STang Haojin assert (!bus.d.fire) 15831f0e2dc7SJiawei Lin } 15841f0e2dc7SJiawei Lin 15851f0e2dc7SJiawei Lin //---------------------------------------- 15860d32f713Shappy-lx // Feedback Direct Prefetch Monitor 15870d32f713Shappy-lx fdpMonitor.io.refill := missQueue.io.prefetch_info.fdp.prefetch_monitor_cnt 15880d32f713Shappy-lx fdpMonitor.io.timely.late_prefetch := missQueue.io.prefetch_info.fdp.late_miss_prefetch 15890d32f713Shappy-lx fdpMonitor.io.accuracy.total_prefetch := missQueue.io.prefetch_info.fdp.total_prefetch 15900d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { 15910d32f713Shappy-lx if(w == 0) { 15920d32f713Shappy-lx fdpMonitor.io.accuracy.useful_prefetch(w) := ldu(w).io.prefetch_info.fdp.useful_prefetch 15930d32f713Shappy-lx }else { 15940d32f713Shappy-lx fdpMonitor.io.accuracy.useful_prefetch(w) := Mux(same_cycle_update_pf_flag, false.B, ldu(w).io.prefetch_info.fdp.useful_prefetch) 15950d32f713Shappy-lx } 15960d32f713Shappy-lx } 15970d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.cache_pollution(w) := ldu(w).io.prefetch_info.fdp.pollution } 15980d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { fdpMonitor.io.pollution.demand_miss(w) := ldu(w).io.prefetch_info.fdp.demand_miss } 15997cf78eb2Shappy-lx fdpMonitor.io.debugRolling := io.debugRolling 16000d32f713Shappy-lx 16010d32f713Shappy-lx //---------------------------------------- 16020d32f713Shappy-lx // Bloom Filter 1603ffd3154dSCharlieLiu // bloomFilter.io.set <> missQueue.io.bloom_filter_query.set 1604ffd3154dSCharlieLiu // bloomFilter.io.clr <> missQueue.io.bloom_filter_query.clr 1605ffd3154dSCharlieLiu bloomFilter.io.set <> mainPipe.io.bloom_filter_query.set 1606ffd3154dSCharlieLiu bloomFilter.io.clr <> mainPipe.io.bloom_filter_query.clr 16070d32f713Shappy-lx 16080d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.query(w) <> ldu(w).io.bloom_filter_query.query } 16090d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { bloomFilter.io.resp(w) <> ldu(w).io.bloom_filter_query.resp } 16100d32f713Shappy-lx 16110d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { counterFilter.io.ld_in(w) <> ldu(w).io.counter_filter_enq } 16120d32f713Shappy-lx for (w <- 0 until LoadPipelineWidth) { counterFilter.io.query(w) <> ldu(w).io.counter_filter_query } 16130d32f713Shappy-lx 16140d32f713Shappy-lx //---------------------------------------- 1615ad3ba452Szhanglinjuan // replacement algorithm 1616ad3ba452Szhanglinjuan val replacer = ReplacementPolicy.fromString(cacheParams.replacer, nWays, nSets) 16170d32f713Shappy-lx val replWayReqs = ldu.map(_.io.replace_way) ++ Seq(mainPipe.io.replace_way) ++ stu.map(_.io.replace_way) 161804665835SMaxpicca-Li 161904665835SMaxpicca-Li if (dwpuParam.enCfPred) { 16204a0e27ecSYanqin Li val victimList = VictimList(nSets) 1621ad3ba452Szhanglinjuan replWayReqs.foreach { 1622ad3ba452Szhanglinjuan case req => 1623ad3ba452Szhanglinjuan req.way := DontCare 162404665835SMaxpicca-Li when(req.set.valid) { 162504665835SMaxpicca-Li when(victimList.whether_sa(req.set.bits)) { 162604665835SMaxpicca-Li req.way := replacer.way(req.set.bits) 162704665835SMaxpicca-Li }.otherwise { 162804665835SMaxpicca-Li req.way := req.dmWay 162904665835SMaxpicca-Li } 163004665835SMaxpicca-Li } 163104665835SMaxpicca-Li } 163204665835SMaxpicca-Li } else { 163304665835SMaxpicca-Li replWayReqs.foreach { 163404665835SMaxpicca-Li case req => 163504665835SMaxpicca-Li req.way := DontCare 163604665835SMaxpicca-Li when(req.set.valid) { 163704665835SMaxpicca-Li req.way := replacer.way(req.set.bits) 163804665835SMaxpicca-Li } 163904665835SMaxpicca-Li } 1640ad3ba452Szhanglinjuan } 1641ad3ba452Szhanglinjuan 1642ad3ba452Szhanglinjuan val replAccessReqs = ldu.map(_.io.replace_access) ++ Seq( 164392816bbcSWilliam Wang mainPipe.io.replace_access 16440d32f713Shappy-lx ) ++ stu.map(_.io.replace_access) 1645ad3ba452Szhanglinjuan val touchWays = Seq.fill(replAccessReqs.size)(Wire(ValidIO(UInt(log2Up(nWays).W)))) 1646ad3ba452Szhanglinjuan touchWays.zip(replAccessReqs).foreach { 1647ad3ba452Szhanglinjuan case (w, req) => 1648ad3ba452Szhanglinjuan w.valid := req.valid 1649ad3ba452Szhanglinjuan w.bits := req.bits.way 1650ad3ba452Szhanglinjuan } 1651ad3ba452Szhanglinjuan val touchSets = replAccessReqs.map(_.bits.set) 1652ad3ba452Szhanglinjuan replacer.access(touchSets, touchWays) 1653ad3ba452Szhanglinjuan 1654ad3ba452Szhanglinjuan //---------------------------------------- 16551f0e2dc7SJiawei Lin // assertions 16561f0e2dc7SJiawei Lin // dcache should only deal with DRAM addresses 165745def856STang Haojin import freechips.rocketchip.util._ 1658935edac4STang Haojin when (bus.a.fire) { 16595bd65c56STang Haojin assert(PmemRanges.map(_.cover(bus.a.bits.address)).reduce(_ || _)) 16601f0e2dc7SJiawei Lin } 1661935edac4STang Haojin when (bus.b.fire) { 16625bd65c56STang Haojin assert(PmemRanges.map(_.cover(bus.b.bits.address)).reduce(_ || _)) 16631f0e2dc7SJiawei Lin } 1664935edac4STang Haojin when (bus.c.fire) { 16655bd65c56STang Haojin assert(PmemRanges.map(_.cover(bus.c.bits.address)).reduce(_ || _)) 16661f0e2dc7SJiawei Lin } 16671f0e2dc7SJiawei Lin 16681f0e2dc7SJiawei Lin //---------------------------------------- 16691f0e2dc7SJiawei Lin // utility functions 16701f0e2dc7SJiawei Lin def block_decoupled[T <: Data](source: DecoupledIO[T], sink: DecoupledIO[T], block_signal: Bool) = { 16711f0e2dc7SJiawei Lin sink.valid := source.valid && !block_signal 16721f0e2dc7SJiawei Lin source.ready := sink.ready && !block_signal 16731f0e2dc7SJiawei Lin sink.bits := source.bits 16741f0e2dc7SJiawei Lin } 16751f0e2dc7SJiawei Lin 1676e19f7967SWilliam Wang //---------------------------------------- 16771f0e2dc7SJiawei Lin // performance counters 1678935edac4STang Haojin val num_loads = PopCount(ldu.map(e => e.io.lsu.req.fire)) 16791f0e2dc7SJiawei Lin XSPerfAccumulate("num_loads", num_loads) 16801f0e2dc7SJiawei Lin 16811f0e2dc7SJiawei Lin io.mshrFull := missQueue.io.full 1682e836c770SZhaoyang You io.l1Miss := missQueue.io.l1Miss 1683ad3ba452Szhanglinjuan 1684ad3ba452Szhanglinjuan // performance counter 1685ffd3154dSCharlieLiu // val ld_access = Wire(Vec(LoadPipelineWidth, missQueue.io.debug_early_replace.last.cloneType)) 1686ffd3154dSCharlieLiu // val st_access = Wire(ld_access.last.cloneType) 1687ffd3154dSCharlieLiu // ld_access.zip(ldu).foreach { 1688ffd3154dSCharlieLiu // case (a, u) => 16895adc4829SYanqin Li // a.valid := RegNext(u.io.lsu.req.fire) && !u.io.lsu.s1_kill 16905adc4829SYanqin Li // a.bits.idx := RegEnable(get_idx(u.io.lsu.req.bits.vaddr), u.io.lsu.req.fire) 1691ffd3154dSCharlieLiu // a.bits.tag := get_tag(u.io.lsu.s1_paddr_dup_dcache) 1692ffd3154dSCharlieLiu // } 16935adc4829SYanqin Li // st_access.valid := RegNext(mainPipe.io.store_req.fire) 16945adc4829SYanqin Li // st_access.bits.idx := RegEnable(get_idx(mainPipe.io.store_req.bits.vaddr), mainPipe.io.store_req.fire) 16955adc4829SYanqin Li // st_access.bits.tag := RegEnable(get_tag(mainPipe.io.store_req.bits.addr), mainPipe.io.store_req.fire) 1696ffd3154dSCharlieLiu // val access_info = ld_access.toSeq ++ Seq(st_access) 16975adc4829SYanqin Li // val early_replace = RegNext(missQueue.io.debug_early_replace) // TODO: clock gate 1698ffd3154dSCharlieLiu // val access_early_replace = access_info.map { 1699ffd3154dSCharlieLiu // case acc => 1700ffd3154dSCharlieLiu // Cat(early_replace.map { 1701ffd3154dSCharlieLiu // case r => 1702ffd3154dSCharlieLiu // acc.valid && r.valid && 1703ffd3154dSCharlieLiu // acc.bits.tag === r.bits.tag && 1704ffd3154dSCharlieLiu // acc.bits.idx === r.bits.idx 1705ffd3154dSCharlieLiu // }) 1706ffd3154dSCharlieLiu // } 1707ffd3154dSCharlieLiu // XSPerfAccumulate("access_early_replace", PopCount(Cat(access_early_replace))) 1708cd365d4cSrvcoresjw 17091ca0e4f3SYinan Xu val perfEvents = (Seq(wb, mainPipe, missQueue, probeQueue) ++ ldu).flatMap(_.getPerfEvents) 17101ca0e4f3SYinan Xu generatePerfEvent() 17111f0e2dc7SJiawei Lin} 17121f0e2dc7SJiawei Lin 17131f0e2dc7SJiawei Linclass AMOHelper() extends ExtModule { 17141f0e2dc7SJiawei Lin val clock = IO(Input(Clock())) 17151f0e2dc7SJiawei Lin val enable = IO(Input(Bool())) 17161f0e2dc7SJiawei Lin val cmd = IO(Input(UInt(5.W))) 17171f0e2dc7SJiawei Lin val addr = IO(Input(UInt(64.W))) 17181f0e2dc7SJiawei Lin val wdata = IO(Input(UInt(64.W))) 17191f0e2dc7SJiawei Lin val mask = IO(Input(UInt(8.W))) 17201f0e2dc7SJiawei Lin val rdata = IO(Output(UInt(64.W))) 17211f0e2dc7SJiawei Lin} 17221f0e2dc7SJiawei Lin 172372dab974Scz4eclass DCacheWrapper()(implicit p: Parameters) extends LazyModule 172472dab974Scz4e with HasXSParameter 172572dab974Scz4e with HasDCacheParameters 172672dab974Scz4e{ 172795e60e55STang Haojin override def shouldBeInlined: Boolean = false 17281f0e2dc7SJiawei Lin 17294f94c0c6SJiawei Lin val useDcache = coreParams.dcacheParametersOpt.nonEmpty 17304f94c0c6SJiawei Lin val clientNode = if (useDcache) TLIdentityNode() else null 17314f94c0c6SJiawei Lin val dcache = if (useDcache) LazyModule(new DCache()) else null 17324f94c0c6SJiawei Lin if (useDcache) { 17331f0e2dc7SJiawei Lin clientNode := dcache.clientNode 17341f0e2dc7SJiawei Lin } 173572dab974Scz4e val uncacheNode = OptionWrapper(cacheCtrlParamsOpt.isDefined, TLIdentityNode()) 173672dab974Scz4e require( 173772dab974Scz4e (uncacheNode.isDefined && dcache.cacheCtrlOpt.isDefined) || 173872dab974Scz4e (!uncacheNode.isDefined && !dcache.cacheCtrlOpt.isDefined), "uncacheNode and ctrlUnitOpt are not connected!") 173972dab974Scz4e if (uncacheNode.isDefined && dcache.cacheCtrlOpt.isDefined) { 174072dab974Scz4e dcache.cacheCtrlOpt.get.node := uncacheNode.get 174172dab974Scz4e } 17421f0e2dc7SJiawei Lin 1743935edac4STang Haojin class DCacheWrapperImp(wrapper: LazyModule) extends LazyModuleImp(wrapper) with HasPerfEvents { 17441f0e2dc7SJiawei Lin val io = IO(new DCacheIO) 17451ca0e4f3SYinan Xu val perfEvents = if (!useDcache) { 17464f94c0c6SJiawei Lin // a fake dcache which uses dpi-c to access memory, only for debug usage! 17471f0e2dc7SJiawei Lin val fake_dcache = Module(new FakeDCache()) 17481f0e2dc7SJiawei Lin io <> fake_dcache.io 17491ca0e4f3SYinan Xu Seq() 17501f0e2dc7SJiawei Lin } 17511f0e2dc7SJiawei Lin else { 17521f0e2dc7SJiawei Lin io <> dcache.module.io 17531ca0e4f3SYinan Xu dcache.module.getPerfEvents 17541f0e2dc7SJiawei Lin } 17551ca0e4f3SYinan Xu generatePerfEvent() 17561f0e2dc7SJiawei Lin } 1757935edac4STang Haojin 1758935edac4STang Haojin lazy val module = new DCacheWrapperImp(this) 17591f0e2dc7SJiawei Lin} 1760