1package xiangshan.backend.rob 2 3import org.chipsalliance.cde.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import xiangshan._ 7import utils._ 8import utility._ 9import xiangshan.backend.Bundles.DynInst 10import xiangshan.backend.decode.VectorConstants 11import xiangshan.backend.rename.SnapshotGenerator 12 13class RenameBufferPtr(size: Int) extends CircularQueuePtr[RenameBufferPtr](size) { 14 def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).RabSize) 15} 16 17object RenameBufferPtr { 18 def apply(flag: Boolean = false, v: Int = 0)(implicit p: Parameters): RenameBufferPtr = { 19 val ptr = Wire(new RenameBufferPtr(p(XSCoreParamsKey).RabSize)) 20 ptr.flag := flag.B 21 ptr.value := v.U 22 ptr 23 } 24} 25 26class RenameBufferEntry(implicit p: Parameters) extends RobCommitInfo { 27 val robIdx = new RobPtr 28} 29 30class RenameBuffer(size: Int)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 31 val io = IO(new Bundle { 32 val redirect = Input(ValidIO(new Bundle { 33 })) 34 35 val req = Vec(RenameWidth, Flipped(ValidIO(new DynInst))) 36 val fromRob = new Bundle { 37 val walkSize = Input(UInt(log2Up(size).W)) 38 val walkEnd = Input(Bool()) 39 val commitSize = Input(UInt(log2Up(size).W)) 40 } 41 42 val snpt = Input(new SnapshotPort) 43 44 val canEnq = Output(Bool()) 45 val enqPtrVec = Output(Vec(RenameWidth, new RenameBufferPtr)) 46 val vconfigPdest = Output(UInt(PhyRegIdxWidth.W)) 47 val commits = Output(new RobCommitIO) 48 val diffCommits = Output(new DiffCommitIO) 49 50 val status = Output(new Bundle { 51 val walkEnd = Bool() 52 }) 53 }) 54 55 // alias 56 private val snptSelect = io.snpt.snptSelect 57 58 // pointer 59 private val enqPtrVec = RegInit(VecInit.tabulate(RenameWidth)(idx => RenameBufferPtr(flag = false, idx))) 60 private val enqPtr = enqPtrVec.head 61 private val enqPtrOH = RegInit(1.U(size.W)) 62 private val enqPtrOHShift = CircularShift(enqPtrOH) 63 // may shift [0, RenameWidth] steps 64 private val enqPtrOHVec = VecInit.tabulate(RenameWidth + 1)(enqPtrOHShift.left) 65 private val enqPtrVecNext = Wire(enqPtrVec.cloneType) 66 67 private val deqPtrVec = RegInit(VecInit.tabulate(CommitWidth)(idx => RenameBufferPtr(flag = false, idx))) 68 private val deqPtr = deqPtrVec.head 69 private val deqPtrOH = RegInit(1.U(size.W)) 70 private val deqPtrOHShift = CircularShift(deqPtrOH) 71 private val deqPtrOHVec = VecInit.tabulate(CommitWidth + 1)(deqPtrOHShift.left) 72 private val deqPtrVecNext = Wire(deqPtrVec.cloneType) 73 XSError(deqPtr.toOH =/= deqPtrOH, p"wrong one-hot reg between $deqPtr and $deqPtrOH") 74 75 private val walkPtr = Reg(new RenameBufferPtr) 76 private val walkPtrOH = walkPtr.toOH 77 private val walkPtrOHVec = VecInit.tabulate(CommitWidth + 1)(CircularShift(walkPtrOH).left) 78 private val walkPtrNext = Wire(new RenameBufferPtr) 79 80 private val snptEnq = io.canEnq && io.req.head.valid && io.req.head.bits.snapshot 81 private val walkPtrSnapshots = SnapshotGenerator(enqPtr, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec) 82 83 // We should extra walk these preg pairs which compressed in rob enq entry at last cycle after restored snapshots. 84 // enq firstuop: b010100 --invert--> b101011 --keep only continuous 1s from head--> b000011 85 // enq firstuop: b111101 --invert--> b000010 --keep only continuous 1s from head--> b000000 86 private val enqCompressedLastCycleMask: UInt = VecInit(io.req.indices.map(i => io.req.slice(0, i + 1).map(!_.bits.firstUop).reduce(_ && _))).asUInt 87 private val compressedLastRobEntryMaskSnapshots = SnapshotGenerator(enqCompressedLastCycleMask, snptEnq, io.snpt.snptDeq, io.redirect.valid, io.snpt.flushVec) 88 private val compressedExtraWalkMask = compressedLastRobEntryMaskSnapshots(snptSelect) 89 // b111111 --Cat(x,1)--> b1111111 --Reverse--> b1111111 --PriorityEncoder--> 6.U 90 // b001111 --Cat(x,1)--> b0011111 --Reverse--> b1111100 --PriorityEncoder--> 4.U 91 // b000011 --Cat(x,1)--> b0000111 --Reverse--> b1110000 --PriorityEncoder--> 2.U 92 // b000000 --Cat(x,1)--> b0000001 --Reverse--> b1000000 --PriorityEncoder--> 0.U 93 private val compressedExtraWalkSize = PriorityMux(Reverse(Cat(compressedExtraWalkMask, 1.U(1.W))), (0 to RenameWidth).map(i => (RenameWidth - i).U)) 94 95 val vcfgPtrOH = RegInit(1.U(size.W)) 96 val vcfgPtrOHShift = CircularShift(vcfgPtrOH) 97 // may shift [0, 2) steps 98 val vcfgPtrOHVec = VecInit.tabulate(2)(vcfgPtrOHShift.left) 99 100 val diffPtrOH = RegInit(1.U(size.W)) 101 val diffPtrOHShift = CircularShift(diffPtrOH) 102 // may shift [0, CommitWidth * MaxUopSize] steps 103 val diffPtrOHVec = VecInit(Seq.tabulate(CommitWidth * MaxUopSize + 1)(_ % size).map(step => diffPtrOHShift.left(step))) 104 105 // Regs 106 val renameBuffer = Mem(size, new RenameBufferEntry) 107 val renameBufferEntries = (0 until size) map (i => renameBuffer(i)) 108 109 val s_idle :: s_special_walk :: s_walk :: Nil = Enum(3) 110 val state = RegInit(s_idle) 111 val stateNext = WireInit(state) // otherwise keep state value 112 113 private val robWalkEndReg = RegInit(false.B) 114 private val robWalkEnd = io.fromRob.walkEnd || robWalkEndReg 115 116 when(io.redirect.valid) { 117 robWalkEndReg := false.B 118 }.elsewhen(io.fromRob.walkEnd) { 119 robWalkEndReg := true.B 120 } 121 122 val realNeedAlloc = io.req.map(req => req.valid && req.bits.needWriteRf) 123 val enqCount = PopCount(realNeedAlloc) 124 val commitCount = Mux(io.commits.isCommit && !io.commits.isWalk, PopCount(io.commits.commitValid), 0.U) 125 val walkCount = Mux(io.commits.isWalk && !io.commits.isCommit, PopCount(io.commits.walkValid), 0.U) 126 val specialWalkCount = Mux(io.commits.isCommit && io.commits.isWalk, PopCount(io.commits.walkValid), 0.U) 127 128 // number of pair(ldest, pdest) ready to commit to arch_rat 129 val commitSize = RegInit(0.U(log2Up(size).W)) 130 val walkSize = RegInit(0.U(log2Up(size).W)) 131 val specialWalkSize = RegInit(0.U(log2Up(size).W)) 132 133 val newCommitSize = io.fromRob.commitSize 134 val newWalkSize = io.fromRob.walkSize 135 136 val commitSizeNxt = commitSize + newCommitSize - commitCount 137 val walkSizeNxt = walkSize + newWalkSize - walkCount 138 139 val newSpecialWalkSize = Mux(io.redirect.valid && !io.snpt.useSnpt, commitSizeNxt, 0.U) 140 val specialWalkSizeNext = specialWalkSize + newSpecialWalkSize - specialWalkCount 141 142 commitSize := Mux(io.redirect.valid && !io.snpt.useSnpt, 0.U, commitSizeNxt) 143 specialWalkSize := specialWalkSizeNext 144 walkSize := Mux(io.redirect.valid, Mux(io.snpt.useSnpt, compressedExtraWalkSize, 0.U), walkSizeNxt) 145 146 walkPtrNext := MuxCase(walkPtr, Seq( 147 (state === s_idle && stateNext === s_walk) -> walkPtrSnapshots(snptSelect), 148 (state === s_special_walk && stateNext === s_walk) -> deqPtrVecNext.head, 149 (state === s_walk && io.snpt.useSnpt && io.redirect.valid) -> walkPtrSnapshots(snptSelect), 150 (state === s_walk) -> (walkPtr + walkCount), 151 )) 152 153 walkPtr := walkPtrNext 154 155 val walkCandidates = VecInit(walkPtrOHVec.map(sel => Mux1H(sel, renameBufferEntries))) 156 val commitCandidates = VecInit(deqPtrOHVec.map(sel => Mux1H(sel, renameBufferEntries))) 157 val vcfgCandidates = VecInit(vcfgPtrOHVec.map(sel => Mux1H(sel, renameBufferEntries))) 158 val diffCandidates = VecInit(diffPtrOHVec.map(sel => Mux1H(sel, renameBufferEntries))) 159 160 // update diff pointer 161 val diffPtrOHNext = Mux(state === s_idle, diffPtrOHVec(newCommitSize), diffPtrOH) 162 diffPtrOH := diffPtrOHNext 163 164 // update vcfg pointer 165 vcfgPtrOH := diffPtrOHNext 166 167 // update enq pointer 168 val enqPtrNext = Mux( 169 state === s_walk && stateNext === s_idle, 170 walkPtrNext, 171 enqPtr + enqCount 172 ) 173 val enqPtrOHNext = Mux( 174 state === s_walk && stateNext === s_idle, 175 walkPtrNext.toOH, 176 enqPtrOHVec(enqCount) 177 ) 178 enqPtr := enqPtrNext 179 enqPtrOH := enqPtrOHNext 180 enqPtrVecNext.zipWithIndex.map{ case(ptr, i) => ptr := enqPtrNext + i.U } 181 enqPtrVec := enqPtrVecNext 182 183 val deqPtrSteps = Mux1H(Seq( 184 (state === s_idle) -> commitCount, 185 (state === s_special_walk) -> specialWalkCount, 186 )) 187 188 // update deq pointer 189 val deqPtrNext = deqPtr + deqPtrSteps 190 val deqPtrOHNext = deqPtrOHVec(deqPtrSteps) 191 deqPtr := deqPtrNext 192 deqPtrOH := deqPtrOHNext 193 deqPtrVecNext.zipWithIndex.map{ case(ptr, i) => ptr := deqPtrNext + i.U } 194 deqPtrVec := deqPtrVecNext 195 196 val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(realNeedAlloc.take(i))).value)) 197 allocatePtrVec.zip(io.req).zip(realNeedAlloc).map{ case((allocatePtr, req), realNeedAlloc) => 198 when(realNeedAlloc){ 199 renameBuffer(allocatePtr).ldest := req.bits.ldest 200 renameBuffer(allocatePtr).pdest := req.bits.pdest 201 renameBuffer(allocatePtr).rfWen := req.bits.rfWen 202 renameBuffer(allocatePtr).fpWen := req.bits.fpWen 203 renameBuffer(allocatePtr).vecWen := req.bits.vecWen 204 renameBuffer(allocatePtr).isMove := req.bits.eliminatedMove 205 renameBuffer(allocatePtr).robIdx := req.bits.robIdx 206 } 207 } 208 209 io.commits.isCommit := state === s_idle || state === s_special_walk 210 io.commits.isWalk := state === s_walk || state === s_special_walk 211 212 for(i <- 0 until CommitWidth) { 213 io.commits.commitValid(i) := state === s_idle && i.U < commitSize || state === s_special_walk && i.U < specialWalkSize 214 io.commits.walkValid(i) := state === s_walk && i.U < walkSize || state === s_special_walk && i.U < specialWalkSize 215 // special walk use commitPtr 216 io.commits.info(i) := Mux(state === s_idle || state === s_special_walk, commitCandidates(i), walkCandidates(i)) 217 // Todo: remove this 218 io.commits.robIdx(i) := Mux(state === s_idle || state === s_special_walk, commitCandidates(i).robIdx, walkCandidates(i).robIdx) 219 } 220 221 private val walkEndNext = walkSizeNxt === 0.U 222 private val specialWalkEndNext = specialWalkSizeNext === 0.U 223 224 // change state 225 state := stateNext 226 when(io.redirect.valid) { 227 when(io.snpt.useSnpt) { 228 stateNext := s_walk 229 }.otherwise { 230 stateNext := s_special_walk 231 } 232 }.otherwise { 233 // change stateNext 234 switch(state) { 235 // this transaction is not used actually, just list all states 236 is(s_idle) { 237 stateNext := s_idle 238 } 239 is(s_special_walk) { 240 when(specialWalkEndNext) { 241 stateNext := s_walk 242 } 243 } 244 is(s_walk) { 245 when(robWalkEnd && walkEndNext) { 246 stateNext := s_idle 247 } 248 } 249 } 250 } 251 252 val numValidEntries = distanceBetween(enqPtr, deqPtr) 253 val allowEnqueue = RegNext(numValidEntries + enqCount <= (size - RenameWidth).U, true.B) 254 255 io.canEnq := allowEnqueue && state === s_idle 256 io.enqPtrVec := enqPtrVec 257 258 io.status.walkEnd := walkEndNext 259 260 io.vconfigPdest := Mux(vcfgCandidates(0).ldest === VCONFIG_IDX.U && vcfgCandidates(0).vecWen, vcfgCandidates(0).pdest, vcfgCandidates(1).pdest) 261 262 // for difftest 263 io.diffCommits := 0.U.asTypeOf(new DiffCommitIO) 264 io.diffCommits.isCommit := state === s_idle || state === s_special_walk 265 for(i <- 0 until CommitWidth * MaxUopSize) { 266 io.diffCommits.commitValid(i) := (state === s_idle || state === s_special_walk) && i.U < newCommitSize 267 io.diffCommits.info(i) := diffCandidates(i) 268 } 269 270 XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n") 271 272 QueuePerf(RabSize, numValidEntries, numValidEntries === size.U) 273 274 XSPerfAccumulate("s_idle_to_idle", state === s_idle && stateNext === s_idle) 275 XSPerfAccumulate("s_idle_to_swlk", state === s_idle && stateNext === s_special_walk) 276 XSPerfAccumulate("s_idle_to_walk", state === s_idle && stateNext === s_walk) 277 XSPerfAccumulate("s_swlk_to_idle", state === s_special_walk && stateNext === s_idle) 278 XSPerfAccumulate("s_swlk_to_swlk", state === s_special_walk && stateNext === s_special_walk) 279 XSPerfAccumulate("s_swlk_to_walk", state === s_special_walk && stateNext === s_walk) 280 XSPerfAccumulate("s_walk_to_idle", state === s_walk && stateNext === s_idle) 281 XSPerfAccumulate("s_walk_to_swlk", state === s_walk && stateNext === s_special_walk) 282 XSPerfAccumulate("s_walk_to_walk", state === s_walk && stateNext === s_walk) 283 284 XSPerfAccumulate("disallow_enq_cycle", !allowEnqueue) 285 XSPerfAccumulate("disallow_enq_full_cycle", numValidEntries + enqCount > (size - RenameWidth).U) 286 XSPerfAccumulate("disallow_enq_not_idle_cycle", state =/= s_idle) 287} 288