1a8db15d8Sfdypackage xiangshan.backend.rob 2a8db15d8Sfdy 3a8db15d8Sfdyimport chipsalliance.rocketchip.config.Parameters 4a8db15d8Sfdyimport chisel3._ 5a8db15d8Sfdyimport chisel3.util._ 6a8db15d8Sfdyimport xiangshan._ 7a8db15d8Sfdyimport utils._ 8a8db15d8Sfdyimport utility._ 9a8db15d8Sfdyimport xiangshan.backend.Bundles.DynInst 10a8db15d8Sfdyimport xiangshan.backend.decode.VectorConstants 1144369838SXuan Huimport xiangshan.backend.rename.SnapshotGenerator 1244369838SXuan Hu 1344369838SXuan Huclass RenameBufferPtr(size: Int) extends CircularQueuePtr[RenameBufferPtr](size) { 1444369838SXuan Hu def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).RabSize) 1544369838SXuan Hu} 1644369838SXuan Hu 1744369838SXuan Huobject RenameBufferPtr { 1844369838SXuan Hu def apply(flag: Boolean = false, v: Int = 0)(implicit p: Parameters): RenameBufferPtr = { 1944369838SXuan Hu val ptr = Wire(new RenameBufferPtr(p(XSCoreParamsKey).RabSize)) 2044369838SXuan Hu ptr.flag := flag.B 2144369838SXuan Hu ptr.value := v.U 2244369838SXuan Hu ptr 2344369838SXuan Hu } 2444369838SXuan Hu} 25a8db15d8Sfdy 26870f462dSXuan Huclass RenameBufferEntry(implicit p: Parameters) extends RobCommitInfo { 27870f462dSXuan Hu val robIdx = new RobPtr 28870f462dSXuan Hu} 29870f462dSXuan Hu 30a8db15d8Sfdyclass RenameBuffer(size: Int)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 31a8db15d8Sfdy val io = IO(new Bundle { 3244369838SXuan Hu val redirect = Input(ValidIO(new Bundle { 3344369838SXuan Hu })) 34a8db15d8Sfdy 35a8db15d8Sfdy val req = Vec(RenameWidth, Flipped(ValidIO(new DynInst))) 3665f65924SXuan Hu val fromRob = new Bundle { 37a8db15d8Sfdy val walkSize = Input(UInt(log2Up(size).W)) 3865f65924SXuan Hu val walkEnd = Input(Bool()) 39a8db15d8Sfdy val commitSize = Input(UInt(log2Up(size).W)) 4065f65924SXuan Hu } 4165f65924SXuan Hu 4244369838SXuan Hu val snpt = Input(new SnapshotPort) 4344369838SXuan Hu 4444369838SXuan Hu val canEnq = Output(Bool()) 4544369838SXuan Hu val enqPtrVec = Output(Vec(RenameWidth, new RenameBufferPtr)) 46a8db15d8Sfdy val vconfigPdest = Output(UInt(PhyRegIdxWidth.W)) 47a8db15d8Sfdy val commits = Output(new RobCommitIO) 48a8db15d8Sfdy val diffCommits = Output(new DiffCommitIO) 4965f65924SXuan Hu 5065f65924SXuan Hu val status = Output(new Bundle { 5165f65924SXuan Hu val walkEnd = Bool() 5265f65924SXuan Hu }) 53a8db15d8Sfdy }) 54a8db15d8Sfdy 5588034bf0SXuan Hu // alias 5688034bf0SXuan Hu private val snptSelect = io.snpt.snptSelect 5788034bf0SXuan Hu 58a8db15d8Sfdy // pointer 5944369838SXuan Hu private val enqPtrVec = RegInit(VecInit.tabulate(RenameWidth)(idx => RenameBufferPtr(flag = false, idx))) 6044369838SXuan Hu private val enqPtr = enqPtrVec.head 6144369838SXuan Hu private val enqPtrOH = RegInit(1.U(size.W)) 6244369838SXuan Hu private val enqPtrOHShift = CircularShift(enqPtrOH) 6344369838SXuan Hu // may shift [0, RenameWidth] steps 6444369838SXuan Hu private val enqPtrOHVec = VecInit.tabulate(RenameWidth + 1)(enqPtrOHShift.left) 6544369838SXuan Hu private val enqPtrVecNext = Wire(enqPtrVec.cloneType) 6644369838SXuan Hu 6744369838SXuan Hu private val deqPtrVec = RegInit(VecInit.tabulate(CommitWidth)(idx => RenameBufferPtr(flag = false, idx))) 6844369838SXuan Hu private val deqPtr = deqPtrVec.head 6944369838SXuan Hu private val deqPtrOH = RegInit(1.U(size.W)) 7044369838SXuan Hu private val deqPtrOHShift = CircularShift(deqPtrOH) 7144369838SXuan Hu private val deqPtrOHVec = VecInit.tabulate(CommitWidth + 1)(deqPtrOHShift.left) 7244369838SXuan Hu private val deqPtrVecNext = Wire(deqPtrVec.cloneType) 7344369838SXuan Hu XSError(deqPtr.toOH =/= deqPtrOH, p"wrong one-hot reg between $deqPtr and $deqPtrOH") 7444369838SXuan Hu 7544369838SXuan Hu private val walkPtr = Reg(new RenameBufferPtr) 7644369838SXuan Hu private val walkPtrOH = walkPtr.toOH 7744369838SXuan Hu private val walkPtrOHVec = VecInit.tabulate(CommitWidth + 1)(CircularShift(walkPtrOH).left) 7844369838SXuan Hu private val walkPtrNext = Wire(new RenameBufferPtr) 7944369838SXuan Hu 8044369838SXuan Hu private val snptEnq = io.canEnq && io.req.head.valid && io.req.head.bits.snapshot 8144369838SXuan Hu private val walkPtrSnapshots = SnapshotGenerator(enqPtr, snptEnq, io.snpt.snptDeq, io.redirect.valid) 8288034bf0SXuan Hu 8388034bf0SXuan Hu // We should extra walk these preg pairs which compressed in rob enq entry at last cycle after restored snapshots. 8488034bf0SXuan Hu // enq firstuop: b010100 --invert--> b101011 --keep only continuous 1s from head--> b000011 8588034bf0SXuan Hu // enq firstuop: b111101 --invert--> b000010 --keep only continuous 1s from head--> b000000 8688034bf0SXuan Hu private val enqCompressedLastCycleMask: UInt = VecInit(io.req.indices.map(i => io.req.slice(0, i + 1).map(!_.bits.firstUop).reduce(_ && _))).asUInt 8788034bf0SXuan Hu private val compressedLastRobEntryMaskSnapshots = SnapshotGenerator(enqCompressedLastCycleMask, snptEnq, io.snpt.snptDeq, io.redirect.valid) 8888034bf0SXuan Hu private val compressedExtraWalkMask = compressedLastRobEntryMaskSnapshots(snptSelect) 8988034bf0SXuan Hu // b111111 --Cat(x,1)--> b1111111 --Reverse--> b1111111 --PriorityEncoder--> 6.U 9088034bf0SXuan Hu // b001111 --Cat(x,1)--> b0011111 --Reverse--> b1111100 --PriorityEncoder--> 4.U 9188034bf0SXuan Hu // b000011 --Cat(x,1)--> b0000111 --Reverse--> b1110000 --PriorityEncoder--> 2.U 9288034bf0SXuan Hu // b000000 --Cat(x,1)--> b0000001 --Reverse--> b1000000 --PriorityEncoder--> 0.U 9388034bf0SXuan Hu private val compressedExtraWalkSize = PriorityMux(Reverse(Cat(compressedExtraWalkMask, 1.U(1.W))), (0 to RenameWidth).map(i => (RenameWidth - i).U)) 9488034bf0SXuan Hu 95a8db15d8Sfdy // may shift [0, CommitWidth] steps 9644369838SXuan Hu val headPtrOHVec2 = VecInit(Seq.tabulate(CommitWidth * MaxUopSize + 1)(_ % size).map(step => deqPtrOHShift.left(step))) 97a8db15d8Sfdy 98a8db15d8Sfdy val vcfgPtrOH = RegInit(1.U(size.W)) 99a8db15d8Sfdy val vcfgPtrOHShift = CircularShift(vcfgPtrOH) 100a8db15d8Sfdy // may shift [0, 2) steps 101a8db15d8Sfdy val vcfgPtrOHVec = VecInit.tabulate(2)(vcfgPtrOHShift.left) 102a8db15d8Sfdy 103a8db15d8Sfdy val diffPtrOH = RegInit(1.U(size.W)) 104a8db15d8Sfdy val diffPtrOHShift = CircularShift(diffPtrOH) 105a8db15d8Sfdy // may shift [0, CommitWidth * MaxUopSize] steps 1063938b56dSzhanglyGit val diffPtrOHVec = VecInit(Seq.tabulate(CommitWidth * MaxUopSize + 1)(_ % size).map(step => diffPtrOHShift.left(step))) 107a8db15d8Sfdy 10844369838SXuan Hu // Regs 109*f1ba628bSHaojin Tang val renameBuffer = Mem(size, new RenameBufferEntry) 110*f1ba628bSHaojin Tang val renameBufferEntries = (0 until size) map (i => renameBuffer(i)) 11144369838SXuan Hu 11265f65924SXuan Hu val s_idle :: s_special_walk :: s_walk :: Nil = Enum(3) 11344369838SXuan Hu val state = RegInit(s_idle) 11465f65924SXuan Hu val stateNext = WireInit(state) // otherwise keep state value 11544369838SXuan Hu 11665f65924SXuan Hu private val robWalkEndReg = RegInit(false.B) 11765f65924SXuan Hu private val robWalkEnd = io.fromRob.walkEnd || robWalkEndReg 11844369838SXuan Hu 11944369838SXuan Hu when(io.redirect.valid) { 12065f65924SXuan Hu robWalkEndReg := false.B 12165f65924SXuan Hu }.elsewhen(io.fromRob.walkEnd) { 12265f65924SXuan Hu robWalkEndReg := true.B 12344369838SXuan Hu } 124a8db15d8Sfdy 125a8db15d8Sfdy val realNeedAlloc = io.req.map(req => req.valid && req.bits.needWriteRf) 126a8db15d8Sfdy val enqCount = PopCount(realNeedAlloc) 12765f65924SXuan Hu val commitCount = Mux(io.commits.isCommit && !io.commits.isWalk, PopCount(io.commits.commitValid), 0.U) 12865f65924SXuan Hu val walkCount = Mux(io.commits.isWalk && !io.commits.isCommit, PopCount(io.commits.walkValid), 0.U) 12965f65924SXuan Hu val specialWalkCount = Mux(io.commits.isCommit && io.commits.isWalk, PopCount(io.commits.walkValid), 0.U) 130a8db15d8Sfdy 131a8db15d8Sfdy // number of pair(ldest, pdest) ready to commit to arch_rat 132a8db15d8Sfdy val commitSize = RegInit(0.U(log2Up(size).W)) 133a8db15d8Sfdy val walkSize = RegInit(0.U(log2Up(size).W)) 13465f65924SXuan Hu val specialWalkSize = RegInit(0.U(log2Up(size).W)) 13544369838SXuan Hu 13665f65924SXuan Hu val newCommitSize = io.fromRob.commitSize 13765f65924SXuan Hu val newWalkSize = io.fromRob.walkSize 138a8db15d8Sfdy 13965f65924SXuan Hu val commitSizeNxt = commitSize + newCommitSize - commitCount 14065f65924SXuan Hu val walkSizeNxt = walkSize + newWalkSize - walkCount 14165f65924SXuan Hu 14265f65924SXuan Hu val newSpecialWalkSize = Mux(io.redirect.valid && !io.snpt.useSnpt, commitSizeNxt, 0.U) 14365f65924SXuan Hu val specialWalkSizeNext = specialWalkSize + newSpecialWalkSize - specialWalkCount 14465f65924SXuan Hu 14565f65924SXuan Hu commitSize := Mux(io.redirect.valid && !io.snpt.useSnpt, 0.U, commitSizeNxt) 14665f65924SXuan Hu specialWalkSize := specialWalkSizeNext 14788034bf0SXuan Hu walkSize := Mux(io.redirect.valid, Mux(io.snpt.useSnpt, compressedExtraWalkSize, 0.U), walkSizeNxt) 148a8db15d8Sfdy 14965f65924SXuan Hu walkPtrNext := MuxCase(walkPtr, Seq( 15088034bf0SXuan Hu (state === s_idle && stateNext === s_walk) -> walkPtrSnapshots(snptSelect), 15165f65924SXuan Hu (state === s_special_walk && stateNext === s_walk) -> deqPtrVecNext.head, 15265f65924SXuan Hu (state === s_walk) -> (walkPtr + walkCount), 15365f65924SXuan Hu )) 15465f65924SXuan Hu 15565f65924SXuan Hu walkPtr := walkPtrNext 15665f65924SXuan Hu 157*f1ba628bSHaojin Tang val walkCandidates = VecInit(walkPtrOHVec.map(sel => Mux1H(sel, renameBufferEntries))) 158*f1ba628bSHaojin Tang val commitCandidates = VecInit(deqPtrOHVec.map(sel => Mux1H(sel, renameBufferEntries))) 159*f1ba628bSHaojin Tang val vcfgCandidates = VecInit(vcfgPtrOHVec.map(sel => Mux1H(sel, renameBufferEntries))) 160*f1ba628bSHaojin Tang val diffCandidates = VecInit(diffPtrOHVec.map(sel => Mux1H(sel, renameBufferEntries))) 161a8db15d8Sfdy 162a8db15d8Sfdy // update diff pointer 16365f65924SXuan Hu val diffPtrOHNext = Mux(state === s_idle, diffPtrOHVec(newCommitSize), diffPtrOH) 164a8db15d8Sfdy diffPtrOH := diffPtrOHNext 16544369838SXuan Hu 166a8db15d8Sfdy // update vcfg pointer 167a8db15d8Sfdy vcfgPtrOH := diffPtrOHNext 168a8db15d8Sfdy 16944369838SXuan Hu // update enq pointer 17044369838SXuan Hu val enqPtrNext = Mux( 17165f65924SXuan Hu state === s_walk && stateNext === s_idle, 17244369838SXuan Hu walkPtrNext, 17344369838SXuan Hu enqPtr + enqCount 17444369838SXuan Hu ) 17544369838SXuan Hu val enqPtrOHNext = Mux( 17665f65924SXuan Hu state === s_walk && stateNext === s_idle, 17744369838SXuan Hu walkPtrNext.toOH, 17844369838SXuan Hu enqPtrOHVec(enqCount) 17944369838SXuan Hu ) 18044369838SXuan Hu enqPtr := enqPtrNext 18144369838SXuan Hu enqPtrOH := enqPtrOHNext 18244369838SXuan Hu enqPtrVecNext.zipWithIndex.map{ case(ptr, i) => ptr := enqPtrNext + i.U } 18344369838SXuan Hu enqPtrVec := enqPtrVecNext 18444369838SXuan Hu 18565f65924SXuan Hu val deqPtrSteps = Mux1H(Seq( 18665f65924SXuan Hu (state === s_idle) -> commitCount, 18765f65924SXuan Hu (state === s_special_walk) -> specialWalkCount, 18865f65924SXuan Hu )) 18965f65924SXuan Hu 19044369838SXuan Hu // update deq pointer 19165f65924SXuan Hu val deqPtrNext = deqPtr + deqPtrSteps 19265f65924SXuan Hu val deqPtrOHNext = deqPtrOHVec(deqPtrSteps) 19344369838SXuan Hu deqPtr := deqPtrNext 19444369838SXuan Hu deqPtrOH := deqPtrOHNext 19544369838SXuan Hu deqPtrVecNext.zipWithIndex.map{ case(ptr, i) => ptr := deqPtrNext + i.U } 19644369838SXuan Hu deqPtrVec := deqPtrVecNext 19744369838SXuan Hu 19844369838SXuan Hu val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(realNeedAlloc.take(i))).value)) 199a8db15d8Sfdy allocatePtrVec.zip(io.req).zip(realNeedAlloc).map{ case((allocatePtr, req), realNeedAlloc) => 200a8db15d8Sfdy when(realNeedAlloc){ 201a8db15d8Sfdy renameBuffer(allocatePtr).ldest := req.bits.ldest 202a8db15d8Sfdy renameBuffer(allocatePtr).pdest := req.bits.pdest 203a8db15d8Sfdy renameBuffer(allocatePtr).rfWen := req.bits.rfWen 204a8db15d8Sfdy renameBuffer(allocatePtr).fpWen := req.bits.fpWen 205a8db15d8Sfdy renameBuffer(allocatePtr).vecWen := req.bits.vecWen 206a8db15d8Sfdy renameBuffer(allocatePtr).isMove := req.bits.eliminatedMove 207870f462dSXuan Hu renameBuffer(allocatePtr).robIdx := req.bits.robIdx 208a8db15d8Sfdy } 209a8db15d8Sfdy } 210a8db15d8Sfdy 21165f65924SXuan Hu io.commits.isCommit := state === s_idle || state === s_special_walk 21265f65924SXuan Hu io.commits.isWalk := state === s_walk || state === s_special_walk 213a8db15d8Sfdy 214a8db15d8Sfdy for(i <- 0 until CommitWidth) { 21565f65924SXuan Hu io.commits.commitValid(i) := state === s_idle && i.U < commitSize || state === s_special_walk && i.U < specialWalkSize 21665f65924SXuan Hu io.commits.walkValid(i) := state === s_walk && i.U < walkSize || state === s_special_walk && i.U < specialWalkSize 21765f65924SXuan Hu // special walk use commitPtr 21865f65924SXuan Hu io.commits.info(i) := Mux(state === s_idle || state === s_special_walk, commitCandidates(i), walkCandidates(i)) 21965f65924SXuan Hu // Todo: remove this 22065f65924SXuan Hu io.commits.robIdx(i) := Mux(state === s_idle || state === s_special_walk, commitCandidates(i).robIdx, walkCandidates(i).robIdx) 221a8db15d8Sfdy } 222a8db15d8Sfdy 22365f65924SXuan Hu private val walkEndNext = walkSizeNxt === 0.U 22465f65924SXuan Hu private val specialWalkEndNext = specialWalkSizeNext === 0.U 225a8db15d8Sfdy 22665f65924SXuan Hu // change state 22765f65924SXuan Hu state := stateNext 22865f65924SXuan Hu when(io.redirect.valid) { 22965f65924SXuan Hu when(io.snpt.useSnpt) { 23065f65924SXuan Hu stateNext := s_walk 23165f65924SXuan Hu }.otherwise { 23265f65924SXuan Hu stateNext := s_special_walk 23365f65924SXuan Hu } 23465f65924SXuan Hu }.otherwise { 23565f65924SXuan Hu // change stateNext 23665f65924SXuan Hu switch(state) { 23765f65924SXuan Hu // this transaction is not used actually, just list all states 23865f65924SXuan Hu is(s_idle) { 23965f65924SXuan Hu stateNext := s_idle 24065f65924SXuan Hu } 24165f65924SXuan Hu is(s_special_walk) { 24265f65924SXuan Hu when(specialWalkEndNext) { 24365f65924SXuan Hu stateNext := s_walk 24465f65924SXuan Hu } 24565f65924SXuan Hu } 24665f65924SXuan Hu is(s_walk) { 24765f65924SXuan Hu when(robWalkEnd && walkEndNext) { 24865f65924SXuan Hu stateNext := s_idle 24965f65924SXuan Hu } 25065f65924SXuan Hu } 25165f65924SXuan Hu } 25265f65924SXuan Hu } 253a8db15d8Sfdy 254a8db15d8Sfdy val allowEnqueue = RegInit(true.B) 25544369838SXuan Hu val numValidEntries = distanceBetween(enqPtr, deqPtr) 256e986c5deSXuan Hu 25765f65924SXuan Hu allowEnqueue := numValidEntries + enqCount <= (size - RenameWidth).U && state === s_idle 258a8db15d8Sfdy io.canEnq := allowEnqueue 25944369838SXuan Hu io.enqPtrVec := enqPtrVec 260a8db15d8Sfdy 26165f65924SXuan Hu io.status.walkEnd := walkEndNext 26265f65924SXuan Hu 263fe60541bSXuan Hu io.vconfigPdest := Mux(vcfgCandidates(0).ldest === VCONFIG_IDX.U && vcfgCandidates(0).vecWen, vcfgCandidates(0).pdest, vcfgCandidates(1).pdest) 264a8db15d8Sfdy 265a8db15d8Sfdy // for difftest 266a8db15d8Sfdy io.diffCommits := 0.U.asTypeOf(new DiffCommitIO) 26765f65924SXuan Hu io.diffCommits.isCommit := state === s_idle || state === s_special_walk 268a8db15d8Sfdy for(i <- 0 until CommitWidth * MaxUopSize) { 26965f65924SXuan Hu io.diffCommits.commitValid(i) := (state === s_idle || state === s_special_walk) && i.U < newCommitSize 270a8db15d8Sfdy io.diffCommits.info(i) := diffCandidates(i) 271a8db15d8Sfdy } 272a8db15d8Sfdy 27344369838SXuan Hu XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n") 27489cc69c1STang Haojin 275e986c5deSXuan Hu QueuePerf(RabSize, numValidEntries, numValidEntries === size.U) 276e986c5deSXuan Hu 277e986c5deSXuan Hu XSPerfAccumulate("s_idle_to_idle", state === s_idle && stateNext === s_idle) 278e986c5deSXuan Hu XSPerfAccumulate("s_idle_to_swlk", state === s_idle && stateNext === s_special_walk) 279e986c5deSXuan Hu XSPerfAccumulate("s_idle_to_walk", state === s_idle && stateNext === s_walk) 280e986c5deSXuan Hu XSPerfAccumulate("s_swlk_to_idle", state === s_special_walk && stateNext === s_idle) 281e986c5deSXuan Hu XSPerfAccumulate("s_swlk_to_swlk", state === s_special_walk && stateNext === s_special_walk) 282e986c5deSXuan Hu XSPerfAccumulate("s_swlk_to_walk", state === s_special_walk && stateNext === s_walk) 283e986c5deSXuan Hu XSPerfAccumulate("s_walk_to_idle", state === s_walk && stateNext === s_idle) 284e986c5deSXuan Hu XSPerfAccumulate("s_walk_to_swlk", state === s_walk && stateNext === s_special_walk) 285e986c5deSXuan Hu XSPerfAccumulate("s_walk_to_walk", state === s_walk && stateNext === s_walk) 286e986c5deSXuan Hu 287e986c5deSXuan Hu XSPerfAccumulate("disallow_enq_cycle", !allowEnqueue) 288e986c5deSXuan Hu XSPerfAccumulate("disallow_enq_full_cycle", numValidEntries + enqCount > (size - RenameWidth).U) 289e986c5deSXuan Hu XSPerfAccumulate("disallow_enq_not_idle_cycle", state =/= s_idle) 290a8db15d8Sfdy} 291