xref: /XiangShan/src/main/scala/xiangshan/backend/rob/Rab.scala (revision 89cc69c119d86d0be7a9e6acf061e9ead2843ebb)
1a8db15d8Sfdypackage xiangshan.backend.rob
2a8db15d8Sfdy
3a8db15d8Sfdyimport chipsalliance.rocketchip.config.Parameters
4a8db15d8Sfdyimport chisel3._
5a8db15d8Sfdyimport chisel3.util._
6a8db15d8Sfdyimport xiangshan._
7a8db15d8Sfdyimport utils._
8a8db15d8Sfdyimport utility._
9a8db15d8Sfdyimport xiangshan.backend.Bundles.DynInst
10a8db15d8Sfdyimport xiangshan.backend.decode.VectorConstants
1144369838SXuan Huimport xiangshan.backend.rename.SnapshotGenerator
1244369838SXuan Hu
1344369838SXuan Huclass RenameBufferPtr(size: Int) extends CircularQueuePtr[RenameBufferPtr](size) {
1444369838SXuan Hu  def this()(implicit p: Parameters) = this(p(XSCoreParamsKey).RabSize)
1544369838SXuan Hu}
1644369838SXuan Hu
1744369838SXuan Huobject RenameBufferPtr {
1844369838SXuan Hu  def apply(flag: Boolean = false, v: Int = 0)(implicit p: Parameters): RenameBufferPtr = {
1944369838SXuan Hu    val ptr = Wire(new RenameBufferPtr(p(XSCoreParamsKey).RabSize))
2044369838SXuan Hu    ptr.flag := flag.B
2144369838SXuan Hu    ptr.value := v.U
2244369838SXuan Hu    ptr
2344369838SXuan Hu  }
2444369838SXuan Hu}
25a8db15d8Sfdy
26870f462dSXuan Huclass RenameBufferEntry(implicit p: Parameters) extends RobCommitInfo {
27870f462dSXuan Hu  val robIdx = new RobPtr
28870f462dSXuan Hu}
29870f462dSXuan Hu
30a8db15d8Sfdyclass RenameBuffer(size: Int)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
31a8db15d8Sfdy  val io = IO(new Bundle {
3244369838SXuan Hu    val redirect = Input(ValidIO(new Bundle {
3344369838SXuan Hu    }))
34a8db15d8Sfdy
35a8db15d8Sfdy    val req = Vec(RenameWidth, Flipped(ValidIO(new DynInst)))
36a8db15d8Sfdy
37a8db15d8Sfdy    val walkSize = Input(UInt(log2Up(size).W))
38a8db15d8Sfdy    val robWalkEnd = Input(Bool())
39a8db15d8Sfdy    val commitSize = Input(UInt(log2Up(size).W))
4044369838SXuan Hu    val snpt = Input(new SnapshotPort)
4144369838SXuan Hu
4244369838SXuan Hu    val canEnq = Output(Bool())
43a8db15d8Sfdy    val rabWalkEnd = Output(Bool())
4444369838SXuan Hu    val enqPtrVec = Output(Vec(RenameWidth, new RenameBufferPtr))
45a8db15d8Sfdy    val vconfigPdest = Output(UInt(PhyRegIdxWidth.W))
46a8db15d8Sfdy    val commits = Output(new RobCommitIO)
47a8db15d8Sfdy    val diffCommits = Output(new DiffCommitIO)
48a8db15d8Sfdy  })
49a8db15d8Sfdy
50a8db15d8Sfdy  // pointer
5144369838SXuan Hu  private val enqPtrVec = RegInit(VecInit.tabulate(RenameWidth)(idx => RenameBufferPtr(flag = false, idx)))
5244369838SXuan Hu  private val enqPtr = enqPtrVec.head
5344369838SXuan Hu  private val enqPtrOH = RegInit(1.U(size.W))
5444369838SXuan Hu  private val enqPtrOHShift = CircularShift(enqPtrOH)
5544369838SXuan Hu  // may shift [0, RenameWidth] steps
5644369838SXuan Hu  private val enqPtrOHVec = VecInit.tabulate(RenameWidth + 1)(enqPtrOHShift.left)
5744369838SXuan Hu  private val enqPtrVecNext = Wire(enqPtrVec.cloneType)
5844369838SXuan Hu
5944369838SXuan Hu  private val deqPtrVec = RegInit(VecInit.tabulate(CommitWidth)(idx => RenameBufferPtr(flag = false, idx)))
6044369838SXuan Hu  private val deqPtr = deqPtrVec.head
6144369838SXuan Hu  private val deqPtrOH = RegInit(1.U(size.W))
6244369838SXuan Hu  private val deqPtrOHShift = CircularShift(deqPtrOH)
6344369838SXuan Hu  private val deqPtrOHVec = VecInit.tabulate(CommitWidth + 1)(deqPtrOHShift.left)
6444369838SXuan Hu  private val deqPtrVecNext = Wire(deqPtrVec.cloneType)
6544369838SXuan Hu  XSError(deqPtr.toOH =/= deqPtrOH, p"wrong one-hot reg between $deqPtr and $deqPtrOH")
6644369838SXuan Hu
6744369838SXuan Hu  private val walkPtr = Reg(new RenameBufferPtr)
6844369838SXuan Hu  private val walkPtrOH = walkPtr.toOH
6944369838SXuan Hu  private val walkPtrOHVec = VecInit.tabulate(CommitWidth + 1)(CircularShift(walkPtrOH).left)
7044369838SXuan Hu  private val walkPtrNext = Wire(new RenameBufferPtr)
7144369838SXuan Hu
7244369838SXuan Hu  private val snptEnq = io.canEnq && io.req.head.valid && io.req.head.bits.snapshot
7344369838SXuan Hu  private val walkPtrSnapshots = SnapshotGenerator(enqPtr, snptEnq, io.snpt.snptDeq, io.redirect.valid)
7444369838SXuan Hu
75a8db15d8Sfdy  // may shift [0, CommitWidth] steps
7644369838SXuan Hu  val headPtrOHVec2 = VecInit(Seq.tabulate(CommitWidth * MaxUopSize + 1)(_ % size).map(step => deqPtrOHShift.left(step)))
77a8db15d8Sfdy
78a8db15d8Sfdy  val vcfgPtrOH = RegInit(1.U(size.W))
79a8db15d8Sfdy  val vcfgPtrOHShift = CircularShift(vcfgPtrOH)
80a8db15d8Sfdy  // may shift [0, 2) steps
81a8db15d8Sfdy  val vcfgPtrOHVec = VecInit.tabulate(2)(vcfgPtrOHShift.left)
82a8db15d8Sfdy
83a8db15d8Sfdy  val diffPtrOH = RegInit(1.U(size.W))
84a8db15d8Sfdy  val diffPtrOHShift = CircularShift(diffPtrOH)
85a8db15d8Sfdy  // may shift [0, CommitWidth * MaxUopSize] steps
863938b56dSzhanglyGit  val diffPtrOHVec = VecInit(Seq.tabulate(CommitWidth * MaxUopSize + 1)(_ % size).map(step => diffPtrOHShift.left(step)))
87a8db15d8Sfdy
8844369838SXuan Hu  // Regs
8944369838SXuan Hu  val renameBuffer = RegInit(VecInit(Seq.fill(size){0.U.asTypeOf(new RenameBufferEntry)}))
9044369838SXuan Hu
9144369838SXuan Hu  val s_idle :: s_walk :: s_cancel :: Nil = Enum(3)
9244369838SXuan Hu  val state = RegInit(s_idle)
9344369838SXuan Hu  val stateNxt = WireInit(s_idle)
9444369838SXuan Hu
9544369838SXuan Hu  val robWalkEnd = RegInit(false.B)
9644369838SXuan Hu  val rabWalkEndWire = Wire(Bool())
9744369838SXuan Hu
9844369838SXuan Hu  when(io.redirect.valid){
9944369838SXuan Hu    robWalkEnd := false.B
10044369838SXuan Hu  }.elsewhen(io.robWalkEnd){
10144369838SXuan Hu    robWalkEnd := true.B
10244369838SXuan Hu  }
103a8db15d8Sfdy
104a8db15d8Sfdy  val realNeedAlloc = io.req.map(req => req.valid && req.bits.needWriteRf)
105a8db15d8Sfdy  val enqCount    = PopCount(realNeedAlloc)
10644369838SXuan Hu  val commitCount = Mux(io.commits.isCommit, PopCount(io.commits.commitValid), 0.U)
10744369838SXuan Hu  val walkCount   = Mux(io.commits.isWalk, PopCount(io.commits.walkValid), 0.U)
10844369838SXuan Hu
10944369838SXuan Hu  walkPtrNext := Mux(
11044369838SXuan Hu    io.redirect.valid,
11144369838SXuan Hu    Mux(
11244369838SXuan Hu      io.snpt.useSnpt,
11344369838SXuan Hu      walkPtrSnapshots(io.snpt.snptSelect),
11444369838SXuan Hu      deqPtrVecNext.head
11544369838SXuan Hu    ),
11644369838SXuan Hu    Mux(
11744369838SXuan Hu      state === s_walk,
11844369838SXuan Hu      walkPtr + walkCount,
11944369838SXuan Hu      walkPtr
12044369838SXuan Hu    )
12144369838SXuan Hu  )
12244369838SXuan Hu
12344369838SXuan Hu  walkPtr := walkPtrNext
124a8db15d8Sfdy
125a8db15d8Sfdy  // number of pair(ldest, pdest) ready to commit to arch_rat
126a8db15d8Sfdy  val commitSize = RegInit(0.U(log2Up(size).W))
127a8db15d8Sfdy  val walkSize = RegInit(0.U(log2Up(size).W))
12844369838SXuan Hu
12944369838SXuan Hu  val commitSizeNxt = commitSize + io.commitSize - commitCount
130a8db15d8Sfdy  val walkSizeNxt = walkSize + io.walkSize - walkCount
131a8db15d8Sfdy
13244369838SXuan Hu  commitSize := commitSizeNxt
13344369838SXuan Hu  walkSize := Mux(io.redirect.valid, 0.U, walkSizeNxt)
134a8db15d8Sfdy
13544369838SXuan Hu  val walkCandidates   = VecInit(walkPtrOHVec.map(sel => Mux1H(sel, renameBuffer)))
13644369838SXuan Hu  val commitCandidates = VecInit(deqPtrOHVec.map(sel => Mux1H(sel, renameBuffer)))
137a8db15d8Sfdy  val vcfgCandidates   = VecInit(vcfgPtrOHVec.map(sel => Mux1H(sel, renameBuffer)))
138a8db15d8Sfdy  val diffCandidates   = VecInit(diffPtrOHVec.map(sel => Mux1H(sel, renameBuffer)))
139a8db15d8Sfdy
140a8db15d8Sfdy  // update diff pointer
141a8db15d8Sfdy  val diffPtrOHNext = Mux(state === s_idle, diffPtrOHVec(io.commitSize), diffPtrOH)
142a8db15d8Sfdy  diffPtrOH := diffPtrOHNext
14344369838SXuan Hu
144a8db15d8Sfdy  // update vcfg pointer
145a8db15d8Sfdy  vcfgPtrOH := diffPtrOHNext
146a8db15d8Sfdy
14744369838SXuan Hu  // update enq pointer
14844369838SXuan Hu  val enqPtrNext = Mux(
14944369838SXuan Hu    state === s_walk && stateNxt === s_idle,
15044369838SXuan Hu    walkPtrNext,
15144369838SXuan Hu    enqPtr + enqCount
15244369838SXuan Hu  )
15344369838SXuan Hu  val enqPtrOHNext = Mux(
15444369838SXuan Hu    state === s_walk && stateNxt === s_idle,
15544369838SXuan Hu    walkPtrNext.toOH,
15644369838SXuan Hu    enqPtrOHVec(enqCount)
15744369838SXuan Hu  )
15844369838SXuan Hu  enqPtr := enqPtrNext
15944369838SXuan Hu  enqPtrOH := enqPtrOHNext
16044369838SXuan Hu  enqPtrVecNext.zipWithIndex.map{ case(ptr, i) => ptr := enqPtrNext + i.U }
16144369838SXuan Hu  enqPtrVec := enqPtrVecNext
16244369838SXuan Hu
16344369838SXuan Hu  // update deq pointer
16444369838SXuan Hu  val deqPtrNext = deqPtr + commitCount
16544369838SXuan Hu  val deqPtrOHNext = deqPtrOHVec(commitCount)
16644369838SXuan Hu  deqPtr := deqPtrNext
16744369838SXuan Hu  deqPtrOH := deqPtrOHNext
16844369838SXuan Hu  deqPtrVecNext.zipWithIndex.map{ case(ptr, i) => ptr := deqPtrNext + i.U }
16944369838SXuan Hu  deqPtrVec := deqPtrVecNext
17044369838SXuan Hu
17144369838SXuan Hu  val allocatePtrVec = VecInit((0 until RenameWidth).map(i => enqPtrVec(PopCount(realNeedAlloc.take(i))).value))
172a8db15d8Sfdy  allocatePtrVec.zip(io.req).zip(realNeedAlloc).map{ case((allocatePtr, req), realNeedAlloc) =>
173a8db15d8Sfdy    when(realNeedAlloc){
174a8db15d8Sfdy      renameBuffer(allocatePtr).ldest := req.bits.ldest
175a8db15d8Sfdy      renameBuffer(allocatePtr).pdest := req.bits.pdest
176a8db15d8Sfdy      renameBuffer(allocatePtr).rfWen := req.bits.rfWen
177a8db15d8Sfdy      renameBuffer(allocatePtr).fpWen := req.bits.fpWen
178a8db15d8Sfdy      renameBuffer(allocatePtr).vecWen := req.bits.vecWen
179a8db15d8Sfdy      renameBuffer(allocatePtr).isMove := req.bits.eliminatedMove
180870f462dSXuan Hu      renameBuffer(allocatePtr).robIdx := req.bits.robIdx
181a8db15d8Sfdy    }
182a8db15d8Sfdy  }
183a8db15d8Sfdy
184a8db15d8Sfdy  io.commits.isCommit := state === s_idle
185a8db15d8Sfdy  io.commits.isWalk := state === s_walk
186a8db15d8Sfdy
187a8db15d8Sfdy  for(i <- 0 until CommitWidth) {
188a8db15d8Sfdy    io.commits.commitValid(i) := state === s_idle && i.U < commitSize
189a8db15d8Sfdy    io.commits.walkValid(i) := state === s_walk && i.U < walkSize
190a8db15d8Sfdy    io.commits.info(i) := Mux(state === s_idle, commitCandidates(i), walkCandidates(i))
191870f462dSXuan Hu    io.commits.robIdx(i) := Mux(state === s_idle, commitCandidates(i).robIdx, walkCandidates(i).robIdx)
192a8db15d8Sfdy  }
193a8db15d8Sfdy
19444369838SXuan Hu  stateNxt := Mux(io.redirect.valid, s_walk,
19544369838SXuan Hu    Mux(io.rabWalkEnd, s_idle, state))
196a8db15d8Sfdy  state := stateNxt
197a8db15d8Sfdy
19844369838SXuan Hu  rabWalkEndWire := robWalkEnd && state === s_walk && walkSizeNxt === 0.U
19944369838SXuan Hu  io.rabWalkEnd := rabWalkEndWire
200a8db15d8Sfdy
201a8db15d8Sfdy  val allowEnqueue = RegInit(true.B)
20244369838SXuan Hu  val numValidEntries = distanceBetween(enqPtr, deqPtr)
203a8db15d8Sfdy  allowEnqueue := numValidEntries + enqCount <= (size - RenameWidth).U
204a8db15d8Sfdy  io.canEnq := allowEnqueue
20544369838SXuan Hu  io.enqPtrVec := enqPtrVec
206a8db15d8Sfdy
207fe60541bSXuan Hu  io.vconfigPdest := Mux(vcfgCandidates(0).ldest === VCONFIG_IDX.U && vcfgCandidates(0).vecWen, vcfgCandidates(0).pdest, vcfgCandidates(1).pdest)
208a8db15d8Sfdy
209a8db15d8Sfdy  // for difftest
210a8db15d8Sfdy  io.diffCommits := 0.U.asTypeOf(new DiffCommitIO)
211a8db15d8Sfdy  io.diffCommits.isCommit := state === s_idle
212a8db15d8Sfdy  for(i <- 0 until CommitWidth * MaxUopSize) {
213a8db15d8Sfdy    io.diffCommits.commitValid(i) := state === s_idle && i.U < io.commitSize
214a8db15d8Sfdy    io.diffCommits.info(i) := diffCandidates(i)
215a8db15d8Sfdy  }
216a8db15d8Sfdy
21744369838SXuan Hu  XSError(isBefore(enqPtr, deqPtr) && !isFull(enqPtr, deqPtr), "\ndeqPtr is older than enqPtr!\n")
218*89cc69c1STang Haojin
219*89cc69c1STang Haojin  QueuePerf(RabSize, numValidEntries, !allowEnqueue)
220a8db15d8Sfdy}
221