1/*************************************************************************************** 2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3* Copyright (c) 2020-2021 Peng Cheng Laboratory 4* 5* XiangShan is licensed under Mulan PSL v2. 6* You can use this software according to the terms and conditions of the Mulan PSL v2. 7* You may obtain a copy of Mulan PSL v2 at: 8* http://license.coscl.org.cn/MulanPSL2 9* 10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13* 14* See the Mulan PSL v2 for more details. 15***************************************************************************************/ 16 17package xiangshan.backend.rename 18 19import chipsalliance.rocketchip.config.Parameters 20import chisel3._ 21import chisel3.util._ 22import utils.{ParallelPriorityMux, XSError} 23import xiangshan._ 24 25class RatReadPort(implicit p: Parameters) extends XSBundle { 26 val hold = Input(Bool()) 27 val addr = Input(UInt(5.W)) 28 val data = Output(UInt(PhyRegIdxWidth.W)) 29} 30 31class RatWritePort(implicit p: Parameters) extends XSBundle { 32 val wen = Bool() 33 val addr = UInt(5.W) 34 val data = UInt(PhyRegIdxWidth.W) 35} 36 37class RenameTable(float: Boolean)(implicit p: Parameters) extends XSModule { 38 val io = IO(new Bundle() { 39 val readPorts = Vec({if(float) 4 else 3} * RenameWidth, new RatReadPort) 40 val specWritePorts = Vec(CommitWidth, Input(new RatWritePort)) 41 val archWritePorts = Vec(CommitWidth, Input(new RatWritePort)) 42 val debug_rdata = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 43 }) 44 45 // speculative rename table 46 val spec_table = RegInit(VecInit(Seq.tabulate(32)(i => i.U(PhyRegIdxWidth.W)))) 47 val spec_table_next = WireInit(spec_table) 48 // arch state rename table 49 val arch_table = RegInit(VecInit(Seq.tabulate(32)(i => i.U(PhyRegIdxWidth.W)))) 50 51 // For better timing, we optimize reading and writing to RenameTable as follows: 52 // (1) Writing at T0 will be actually processed at T1. 53 // (2) Reading is synchronous now. 54 // (3) RAddr at T0 will be used to access the table and get data at T0. 55 // (4) WData at T0 is bypassed to RData at T1. 56 val t1_rdata = io.readPorts.map(p => RegNext(Mux(p.hold, p.data, spec_table_next(p.addr)))) 57 val t1_raddr = io.readPorts.map(p => RegEnable(p.addr, !p.hold)) 58 val t1_wSpec = RegNext(io.specWritePorts) 59 60 // WRITE: when instruction commits or walking 61 val t1_wSpec_addr = t1_wSpec.map(w => Mux(w.wen, UIntToOH(w.addr), 0.U)) 62 for ((next, i) <- spec_table_next.zipWithIndex) { 63 val matchVec = t1_wSpec_addr.map(w => w(i)) 64 val wMatch = ParallelPriorityMux(matchVec.reverse, t1_wSpec.map(_.data).reverse) 65 // When there's a flush, we use arch_table to update spec_table. 66 next := Mux(VecInit(matchVec).asUInt.orR, wMatch, spec_table(i)) 67 } 68 spec_table := spec_table_next 69 70 // READ: decode-rename stage 71 for ((r, i) <- io.readPorts.zipWithIndex) { 72 // We use two comparisons here because r.hold has bad timing but addrs have better timing. 73 val t0_bypass = io.specWritePorts.map(w => w.wen && Mux(r.hold, w.addr === t1_raddr(i), w.addr === r.addr)) 74 val t1_bypass = RegNext(VecInit(t0_bypass)) 75 val bypass_data = ParallelPriorityMux(t1_bypass.reverse, t1_wSpec.map(_.data).reverse) 76 r.data := Mux(t1_bypass.asUInt.orR, bypass_data, t1_rdata(i)) 77 } 78 79 for (w <- io.archWritePorts) { 80 when (w.wen) { 81 arch_table(w.addr) := w.data 82 } 83 } 84 85 io.debug_rdata := arch_table 86} 87 88class RenameTableWrapper(implicit p: Parameters) extends XSModule { 89 val io = IO(new Bundle() { 90 val robCommits = Flipped(new RobCommitIO) 91 val intReadPorts = Vec(RenameWidth, Vec(3, new RatReadPort)) 92 val intRenamePorts = Vec(RenameWidth, Input(new RatWritePort)) 93 val fpReadPorts = Vec(RenameWidth, Vec(4, new RatReadPort)) 94 val fpRenamePorts = Vec(RenameWidth, Input(new RatWritePort)) 95 // for debug printing 96 val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 97 val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 98 }) 99 100 val intRat = Module(new RenameTable(float = false)) 101 val fpRat = Module(new RenameTable(float = true)) 102 103 intRat.io.debug_rdata <> io.debug_int_rat 104 intRat.io.readPorts <> io.intReadPorts.flatten 105 val intDestValid = io.robCommits.info.map(_.rfWen) 106 for ((arch, i) <- intRat.io.archWritePorts.zipWithIndex) { 107 arch.wen := !io.robCommits.isWalk && io.robCommits.valid(i) && intDestValid(i) 108 arch.addr := io.robCommits.info(i).ldest 109 arch.data := io.robCommits.info(i).pdest 110 XSError(arch.wen && arch.addr === 0.U && arch.data =/= 0.U, "pdest for $0 should be 0\n") 111 } 112 for ((spec, i) <- intRat.io.specWritePorts.zipWithIndex) { 113 spec.wen := io.robCommits.isWalk && io.robCommits.valid(i) && intDestValid(i) 114 spec.addr := io.robCommits.info(i).ldest 115 spec.data := io.robCommits.info(i).old_pdest 116 XSError(spec.wen && spec.addr === 0.U && spec.data =/= 0.U, "pdest for $0 should be 0\n") 117 } 118 for ((spec, rename) <- intRat.io.specWritePorts.zip(io.intRenamePorts)) { 119 when (rename.wen) { 120 spec.wen := true.B 121 spec.addr := rename.addr 122 spec.data := rename.data 123 } 124 } 125 126 // debug read ports for difftest 127 fpRat.io.debug_rdata <> io.debug_fp_rat 128 fpRat.io.readPorts <> io.fpReadPorts.flatten 129 for ((arch, i) <- fpRat.io.archWritePorts.zipWithIndex) { 130 arch.wen := !io.robCommits.isWalk && io.robCommits.valid(i) && io.robCommits.info(i).fpWen 131 arch.addr := io.robCommits.info(i).ldest 132 arch.data := io.robCommits.info(i).pdest 133 } 134 for ((spec, i) <- fpRat.io.specWritePorts.zipWithIndex) { 135 spec.wen := io.robCommits.isWalk && io.robCommits.valid(i) && io.robCommits.info(i).fpWen 136 spec.addr := io.robCommits.info(i).ldest 137 spec.data := io.robCommits.info(i).old_pdest 138 } 139 for ((spec, rename) <- fpRat.io.specWritePorts.zip(io.fpRenamePorts)) { 140 when (rename.wen) { 141 spec.wen := true.B 142 spec.addr := rename.addr 143 spec.data := rename.data 144 } 145 } 146 147} 148