1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17b034d3b9SLinJiaweipackage xiangshan.backend.rename 18b034d3b9SLinJiawei 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 20b034d3b9SLinJiaweiimport chisel3._ 21b034d3b9SLinJiaweiimport chisel3.util._ 227fa2c198SYinan Xuimport utils.{ParallelPriorityMux, XSError} 23b034d3b9SLinJiaweiimport xiangshan._ 24b034d3b9SLinJiawei 25*a7a8a6ccSHaojin Tangabstract class RegType 26*a7a8a6ccSHaojin Tangcase object Reg_I extends RegType 27*a7a8a6ccSHaojin Tangcase object Reg_F extends RegType 28*a7a8a6ccSHaojin Tangcase object Reg_V extends RegType 29*a7a8a6ccSHaojin Tang 302225d46eSJiawei Linclass RatReadPort(implicit p: Parameters) extends XSBundle { 317fa2c198SYinan Xu val hold = Input(Bool()) 32*a7a8a6ccSHaojin Tang val addr = Input(UInt(6.W)) 337fa2c198SYinan Xu val data = Output(UInt(PhyRegIdxWidth.W)) 34b034d3b9SLinJiawei} 35b034d3b9SLinJiawei 362225d46eSJiawei Linclass RatWritePort(implicit p: Parameters) extends XSBundle { 377fa2c198SYinan Xu val wen = Bool() 38*a7a8a6ccSHaojin Tang val addr = UInt(6.W) 397fa2c198SYinan Xu val data = UInt(PhyRegIdxWidth.W) 40b034d3b9SLinJiawei} 41b034d3b9SLinJiawei 42*a7a8a6ccSHaojin Tangclass RenameTable(reg_t: RegType)(implicit p: Parameters) extends XSModule { 43*a7a8a6ccSHaojin Tang val readPortsNum = reg_t match { 44*a7a8a6ccSHaojin Tang case Reg_I => 3 45*a7a8a6ccSHaojin Tang case Reg_F => 4 46*a7a8a6ccSHaojin Tang case Reg_V => 5 47*a7a8a6ccSHaojin Tang } 4866b2c4a4SYinan Xu val io = IO(new Bundle { 49ccfddc82SHaojin Tang val redirect = Input(Bool()) 50*a7a8a6ccSHaojin Tang val readPorts = Vec(readPortsNum * RenameWidth, new RatReadPort) 517fa2c198SYinan Xu val specWritePorts = Vec(CommitWidth, Input(new RatWritePort)) 527fa2c198SYinan Xu val archWritePorts = Vec(CommitWidth, Input(new RatWritePort)) 532225d46eSJiawei Lin val debug_rdata = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 54*a7a8a6ccSHaojin Tang val debug_vconfig = reg_t match { // vconfig is implemented as int reg[32] 55*a7a8a6ccSHaojin Tang case Reg_I => Some(Output(UInt(PhyRegIdxWidth.W))) 56*a7a8a6ccSHaojin Tang case _ => None 57*a7a8a6ccSHaojin Tang } 58b034d3b9SLinJiawei }) 59b034d3b9SLinJiawei 60b034d3b9SLinJiawei // speculative rename table 61*a7a8a6ccSHaojin Tang // fp and vec share the same free list, so the first init value of vecRAT is 32 62*a7a8a6ccSHaojin Tang val rename_table_init = reg_t match { 63*a7a8a6ccSHaojin Tang case Reg_I => VecInit.fill (33)(0.U(PhyRegIdxWidth.W)) 64*a7a8a6ccSHaojin Tang case Reg_F => VecInit.tabulate(32)(_.U(PhyRegIdxWidth.W)) 65*a7a8a6ccSHaojin Tang case Reg_V => VecInit.tabulate(32)(x => (x + 32).U(PhyRegIdxWidth.W)) 66*a7a8a6ccSHaojin Tang } 6766b2c4a4SYinan Xu val spec_table = RegInit(rename_table_init) 687fa2c198SYinan Xu val spec_table_next = WireInit(spec_table) 69b034d3b9SLinJiawei // arch state rename table 7066b2c4a4SYinan Xu val arch_table = RegInit(rename_table_init) 71ccfddc82SHaojin Tang val arch_table_next = WireDefault(arch_table) 72b034d3b9SLinJiawei 737fa2c198SYinan Xu // For better timing, we optimize reading and writing to RenameTable as follows: 747fa2c198SYinan Xu // (1) Writing at T0 will be actually processed at T1. 757fa2c198SYinan Xu // (2) Reading is synchronous now. 767fa2c198SYinan Xu // (3) RAddr at T0 will be used to access the table and get data at T0. 777fa2c198SYinan Xu // (4) WData at T0 is bypassed to RData at T1. 78ccfddc82SHaojin Tang val t1_redirect = RegNext(io.redirect, false.B) 797fa2c198SYinan Xu val t1_rdata = io.readPorts.map(p => RegNext(Mux(p.hold, p.data, spec_table_next(p.addr)))) 807fa2c198SYinan Xu val t1_raddr = io.readPorts.map(p => RegEnable(p.addr, !p.hold)) 81ccfddc82SHaojin Tang val t1_wSpec = RegNext(Mux(io.redirect, 0.U.asTypeOf(io.specWritePorts), io.specWritePorts)) 82b034d3b9SLinJiawei 837fa2c198SYinan Xu // WRITE: when instruction commits or walking 847fa2c198SYinan Xu val t1_wSpec_addr = t1_wSpec.map(w => Mux(w.wen, UIntToOH(w.addr), 0.U)) 857fa2c198SYinan Xu for ((next, i) <- spec_table_next.zipWithIndex) { 867fa2c198SYinan Xu val matchVec = t1_wSpec_addr.map(w => w(i)) 877fa2c198SYinan Xu val wMatch = ParallelPriorityMux(matchVec.reverse, t1_wSpec.map(_.data).reverse) 887fa2c198SYinan Xu // When there's a flush, we use arch_table to update spec_table. 89ccfddc82SHaojin Tang next := Mux(t1_redirect, arch_table(i), Mux(VecInit(matchVec).asUInt.orR, wMatch, spec_table(i))) 907fa2c198SYinan Xu } 917fa2c198SYinan Xu spec_table := spec_table_next 927fa2c198SYinan Xu 937fa2c198SYinan Xu // READ: decode-rename stage 94b034d3b9SLinJiawei for ((r, i) <- io.readPorts.zipWithIndex) { 957fa2c198SYinan Xu // We use two comparisons here because r.hold has bad timing but addrs have better timing. 967fa2c198SYinan Xu val t0_bypass = io.specWritePorts.map(w => w.wen && Mux(r.hold, w.addr === t1_raddr(i), w.addr === r.addr)) 97ccfddc82SHaojin Tang val t1_bypass = RegNext(Mux(io.redirect, 0.U.asTypeOf(VecInit(t0_bypass)), VecInit(t0_bypass))) 987fa2c198SYinan Xu val bypass_data = ParallelPriorityMux(t1_bypass.reverse, t1_wSpec.map(_.data).reverse) 997fa2c198SYinan Xu r.data := Mux(t1_bypass.asUInt.orR, bypass_data, t1_rdata(i)) 100b034d3b9SLinJiawei } 101b034d3b9SLinJiawei 102b034d3b9SLinJiawei for (w <- io.archWritePorts) { 1037fa2c198SYinan Xu when (w.wen) { 104ccfddc82SHaojin Tang arch_table_next(w.addr) := w.data 105ce4949a0SYinan Xu } 106b034d3b9SLinJiawei } 107ccfddc82SHaojin Tang arch_table := arch_table_next 108b034d3b9SLinJiawei 109*a7a8a6ccSHaojin Tang io.debug_rdata := arch_table.take(32) 110*a7a8a6ccSHaojin Tang io.debug_vconfig match { 111*a7a8a6ccSHaojin Tang case None => Unit 112*a7a8a6ccSHaojin Tang case x => x.get := arch_table.last 113*a7a8a6ccSHaojin Tang } 11444dead2fSZhangZifei} 1157fa2c198SYinan Xu 1167fa2c198SYinan Xuclass RenameTableWrapper(implicit p: Parameters) extends XSModule { 1177fa2c198SYinan Xu val io = IO(new Bundle() { 118ccfddc82SHaojin Tang val redirect = Input(Bool()) 119ccfddc82SHaojin Tang val robCommits = Input(new RobCommitIO) 1207fa2c198SYinan Xu val intReadPorts = Vec(RenameWidth, Vec(3, new RatReadPort)) 1217fa2c198SYinan Xu val intRenamePorts = Vec(RenameWidth, Input(new RatWritePort)) 1227fa2c198SYinan Xu val fpReadPorts = Vec(RenameWidth, Vec(4, new RatReadPort)) 1237fa2c198SYinan Xu val fpRenamePorts = Vec(RenameWidth, Input(new RatWritePort)) 124*a7a8a6ccSHaojin Tang val vecReadPorts = Vec(RenameWidth, Vec(5, new RatReadPort)) 125deb6421eSHaojin Tang val vecRenamePorts = Vec(RenameWidth, Input(new RatWritePort)) 1267fa2c198SYinan Xu // for debug printing 1277fa2c198SYinan Xu val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 1287fa2c198SYinan Xu val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 129deb6421eSHaojin Tang val debug_vec_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 130*a7a8a6ccSHaojin Tang val debug_vconfig_rat = Output(UInt(PhyRegIdxWidth.W)) 1317fa2c198SYinan Xu }) 1327fa2c198SYinan Xu 133*a7a8a6ccSHaojin Tang val intRat = Module(new RenameTable(Reg_I)) 134*a7a8a6ccSHaojin Tang val fpRat = Module(new RenameTable(Reg_F)) 135*a7a8a6ccSHaojin Tang val vecRat = Module(new RenameTable(Reg_V)) 1367fa2c198SYinan Xu 137*a7a8a6ccSHaojin Tang io.debug_int_rat := intRat.io.debug_rdata 138*a7a8a6ccSHaojin Tang io.debug_vconfig_rat := intRat.io.debug_vconfig.get 1397fa2c198SYinan Xu intRat.io.readPorts <> io.intReadPorts.flatten 140ccfddc82SHaojin Tang intRat.io.redirect := io.redirect 141c3abb8b6SYinan Xu val intDestValid = io.robCommits.info.map(_.rfWen) 1427fa2c198SYinan Xu for ((arch, i) <- intRat.io.archWritePorts.zipWithIndex) { 1436474c47fSYinan Xu arch.wen := io.robCommits.isCommit && io.robCommits.commitValid(i) && intDestValid(i) 1447fa2c198SYinan Xu arch.addr := io.robCommits.info(i).ldest 1457fa2c198SYinan Xu arch.data := io.robCommits.info(i).pdest 146c3abb8b6SYinan Xu XSError(arch.wen && arch.addr === 0.U && arch.data =/= 0.U, "pdest for $0 should be 0\n") 1477fa2c198SYinan Xu } 1487fa2c198SYinan Xu for ((spec, i) <- intRat.io.specWritePorts.zipWithIndex) { 1496474c47fSYinan Xu spec.wen := io.robCommits.isWalk && io.robCommits.walkValid(i) && intDestValid(i) 1507fa2c198SYinan Xu spec.addr := io.robCommits.info(i).ldest 151ccfddc82SHaojin Tang spec.data := io.robCommits.info(i).pdest 152c3abb8b6SYinan Xu XSError(spec.wen && spec.addr === 0.U && spec.data =/= 0.U, "pdest for $0 should be 0\n") 1537fa2c198SYinan Xu } 1547fa2c198SYinan Xu for ((spec, rename) <- intRat.io.specWritePorts.zip(io.intRenamePorts)) { 1557fa2c198SYinan Xu when (rename.wen) { 1567fa2c198SYinan Xu spec.wen := true.B 1577fa2c198SYinan Xu spec.addr := rename.addr 1587fa2c198SYinan Xu spec.data := rename.data 1597fa2c198SYinan Xu } 1607fa2c198SYinan Xu } 1617fa2c198SYinan Xu 1627fa2c198SYinan Xu // debug read ports for difftest 163*a7a8a6ccSHaojin Tang io.debug_fp_rat := fpRat.io.debug_rdata 1647fa2c198SYinan Xu fpRat.io.readPorts <> io.fpReadPorts.flatten 165deb6421eSHaojin Tang fpRat.io.redirect := io.redirect 1667fa2c198SYinan Xu for ((arch, i) <- fpRat.io.archWritePorts.zipWithIndex) { 1676474c47fSYinan Xu arch.wen := io.robCommits.isCommit && io.robCommits.commitValid(i) && io.robCommits.info(i).fpWen 1687fa2c198SYinan Xu arch.addr := io.robCommits.info(i).ldest 1697fa2c198SYinan Xu arch.data := io.robCommits.info(i).pdest 1707fa2c198SYinan Xu } 1717fa2c198SYinan Xu for ((spec, i) <- fpRat.io.specWritePorts.zipWithIndex) { 1726474c47fSYinan Xu spec.wen := io.robCommits.isWalk && io.robCommits.walkValid(i) && io.robCommits.info(i).fpWen 1737fa2c198SYinan Xu spec.addr := io.robCommits.info(i).ldest 174ccfddc82SHaojin Tang spec.data := io.robCommits.info(i).pdest 1757fa2c198SYinan Xu } 1767fa2c198SYinan Xu for ((spec, rename) <- fpRat.io.specWritePorts.zip(io.fpRenamePorts)) { 1777fa2c198SYinan Xu when (rename.wen) { 1787fa2c198SYinan Xu spec.wen := true.B 1797fa2c198SYinan Xu spec.addr := rename.addr 1807fa2c198SYinan Xu spec.data := rename.data 1817fa2c198SYinan Xu } 1827fa2c198SYinan Xu } 1837fa2c198SYinan Xu 184deb6421eSHaojin Tang // debug read ports for difftest 185*a7a8a6ccSHaojin Tang io.debug_vec_rat := vecRat.io.debug_rdata 186deb6421eSHaojin Tang vecRat.io.readPorts <> io.vecReadPorts.flatten 187deb6421eSHaojin Tang vecRat.io.redirect := io.redirect 188deb6421eSHaojin Tang for ((arch, i) <- vecRat.io.archWritePorts.zipWithIndex) { 189deb6421eSHaojin Tang arch.wen := io.robCommits.isCommit && io.robCommits.commitValid(i) && io.robCommits.info(i).vecWen 190deb6421eSHaojin Tang arch.addr := io.robCommits.info(i).ldest 191deb6421eSHaojin Tang arch.data := io.robCommits.info(i).pdest 192deb6421eSHaojin Tang } 193deb6421eSHaojin Tang for ((spec, i) <- vecRat.io.specWritePorts.zipWithIndex) { 194deb6421eSHaojin Tang spec.wen := io.robCommits.isWalk && io.robCommits.walkValid(i) && io.robCommits.info(i).vecWen 195deb6421eSHaojin Tang spec.addr := io.robCommits.info(i).ldest 196deb6421eSHaojin Tang spec.data := io.robCommits.info(i).pdest 197deb6421eSHaojin Tang } 198deb6421eSHaojin Tang for ((spec, rename) <- vecRat.io.specWritePorts.zip(io.vecRenamePorts)) { 199deb6421eSHaojin Tang when (rename.wen) { 200deb6421eSHaojin Tang spec.wen := true.B 201deb6421eSHaojin Tang spec.addr := rename.addr 202deb6421eSHaojin Tang spec.data := rename.data 203deb6421eSHaojin Tang } 204deb6421eSHaojin Tang } 205deb6421eSHaojin Tang 2067fa2c198SYinan Xu} 207