xref: /XiangShan/src/main/scala/xiangshan/backend/rename/RenameTable.scala (revision 6b102a39d3be6d1d5673cad2c054db245a52f5ff)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
17b034d3b9SLinJiaweipackage xiangshan.backend.rename
18b034d3b9SLinJiawei
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
20b034d3b9SLinJiaweiimport chisel3._
21b034d3b9SLinJiaweiimport chisel3.util._
22fa7f2c26STang Haojinimport utility.HasCircularQueuePtrHelper
233c02ee8fSwakafaimport utility.ParallelPriorityMux
243c02ee8fSwakafaimport utils.XSError
25b034d3b9SLinJiaweiimport xiangshan._
26b034d3b9SLinJiawei
27a7a8a6ccSHaojin Tangabstract class RegType
28a7a8a6ccSHaojin Tangcase object Reg_I extends RegType
29a7a8a6ccSHaojin Tangcase object Reg_F extends RegType
30a7a8a6ccSHaojin Tangcase object Reg_V extends RegType
31a7a8a6ccSHaojin Tang
322225d46eSJiawei Linclass RatReadPort(implicit p: Parameters) extends XSBundle {
337fa2c198SYinan Xu  val hold = Input(Bool())
34a7a8a6ccSHaojin Tang  val addr = Input(UInt(6.W))
357fa2c198SYinan Xu  val data = Output(UInt(PhyRegIdxWidth.W))
36b034d3b9SLinJiawei}
37b034d3b9SLinJiawei
382225d46eSJiawei Linclass RatWritePort(implicit p: Parameters) extends XSBundle {
397fa2c198SYinan Xu  val wen = Bool()
40a7a8a6ccSHaojin Tang  val addr = UInt(6.W)
417fa2c198SYinan Xu  val data = UInt(PhyRegIdxWidth.W)
42b034d3b9SLinJiawei}
43b034d3b9SLinJiawei
44c61abc0cSXuan Huclass RenameTable(reg_t: RegType)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
45d6f9198fSXuan Hu
46d6f9198fSXuan Hu  // params alias
47d6f9198fSXuan Hu  private val numVecRegSrc = backendParams.numVecRegSrc
48d6f9198fSXuan Hu  private val numVecRatPorts = numVecRegSrc + 1 // +1 dst
49d6f9198fSXuan Hu
50a7a8a6ccSHaojin Tang  val readPortsNum = reg_t match {
51a7a8a6ccSHaojin Tang    case Reg_I => 3
52a7a8a6ccSHaojin Tang    case Reg_F => 4
53d6f9198fSXuan Hu    case Reg_V => numVecRatPorts // +1 ldest
54a7a8a6ccSHaojin Tang  }
5566b2c4a4SYinan Xu  val io = IO(new Bundle {
56ccfddc82SHaojin Tang    val redirect = Input(Bool())
57a7a8a6ccSHaojin Tang    val readPorts = Vec(readPortsNum * RenameWidth, new RatReadPort)
587fa2c198SYinan Xu    val specWritePorts = Vec(CommitWidth, Input(new RatWritePort))
597fa2c198SYinan Xu    val archWritePorts = Vec(CommitWidth, Input(new RatWritePort))
60dcf3a679STang Haojin    val old_pdest = Vec(CommitWidth, Output(UInt(PhyRegIdxWidth.W)))
61dcf3a679STang Haojin    val need_free = Vec(CommitWidth, Output(Bool()))
62fa7f2c26STang Haojin    val snpt = Input(new SnapshotPort)
63cda1c534Sxiaofeibao-xjtu    val diffWritePorts = if (backendParams.debugEn) Some(Vec(CommitWidth * MaxUopSize, Input(new RatWritePort))) else None
64b7d9e8d5Sxiaofeibao-xjtu    val debug_rdata = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
65b7d9e8d5Sxiaofeibao-xjtu    val debug_vconfig = if (backendParams.debugEn) reg_t match { // vconfig is implemented as int reg[32]
66a8db15d8Sfdy      case Reg_V => Some(Output(UInt(PhyRegIdxWidth.W)))
67a8db15d8Sfdy      case _ => None
68b7d9e8d5Sxiaofeibao-xjtu    } else None
69b7d9e8d5Sxiaofeibao-xjtu    val diff_rdata = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
70b7d9e8d5Sxiaofeibao-xjtu    val diff_vconfig = if (backendParams.debugEn) reg_t match {
71a8db15d8Sfdy      case Reg_V => Some(Output(UInt(PhyRegIdxWidth.W)))
72a7a8a6ccSHaojin Tang      case _ => None
73b7d9e8d5Sxiaofeibao-xjtu    } else None
74b034d3b9SLinJiawei  })
75b034d3b9SLinJiawei
76b034d3b9SLinJiawei  // speculative rename table
77a7a8a6ccSHaojin Tang  // fp and vec share the same free list, so the first init value of vecRAT is 32
78a7a8a6ccSHaojin Tang  val rename_table_init = reg_t match {
79d91483a6Sfdy    case Reg_I => VecInit.fill    (IntLogicRegs)(0.U(PhyRegIdxWidth.W))
80d91483a6Sfdy    case Reg_F => VecInit.tabulate(FpLogicRegs)(_.U(PhyRegIdxWidth.W))
81d91483a6Sfdy    case Reg_V => VecInit.tabulate(VecLogicRegs)(x => (x + FpLogicRegs).U(PhyRegIdxWidth.W))
82a7a8a6ccSHaojin Tang  }
8366b2c4a4SYinan Xu  val spec_table = RegInit(rename_table_init)
847fa2c198SYinan Xu  val spec_table_next = WireInit(spec_table)
85b034d3b9SLinJiawei  // arch state rename table
8666b2c4a4SYinan Xu  val arch_table = RegInit(rename_table_init)
87ccfddc82SHaojin Tang  val arch_table_next = WireDefault(arch_table)
88dcf3a679STang Haojin  // old_pdest
89dcf3a679STang Haojin  val old_pdest = RegInit(VecInit.fill(CommitWidth)(0.U(PhyRegIdxWidth.W)))
90dcf3a679STang Haojin  val need_free = RegInit(VecInit.fill(CommitWidth)(false.B))
91b034d3b9SLinJiawei
927fa2c198SYinan Xu  // For better timing, we optimize reading and writing to RenameTable as follows:
937fa2c198SYinan Xu  // (1) Writing at T0 will be actually processed at T1.
947fa2c198SYinan Xu  // (2) Reading is synchronous now.
957fa2c198SYinan Xu  // (3) RAddr at T0 will be used to access the table and get data at T0.
967fa2c198SYinan Xu  // (4) WData at T0 is bypassed to RData at T1.
97ccfddc82SHaojin Tang  val t1_redirect = RegNext(io.redirect, false.B)
987fa2c198SYinan Xu  val t1_raddr = io.readPorts.map(p => RegEnable(p.addr, !p.hold))
99a3126b39Sxiaofeibao-xjtu  val t1_rdata_use_t1_raddr = VecInit(t1_raddr.map(spec_table_next(_)))
100ccfddc82SHaojin Tang  val t1_wSpec = RegNext(Mux(io.redirect, 0.U.asTypeOf(io.specWritePorts), io.specWritePorts))
101b034d3b9SLinJiawei
102fa7f2c26STang Haojin  val t1_snpt = RegNext(io.snpt, 0.U.asTypeOf(io.snpt))
103fa7f2c26STang Haojin
104c4b56310SHaojin Tang  val snapshots = SnapshotGenerator(spec_table, t1_snpt.snptEnq, t1_snpt.snptDeq, t1_redirect, t1_snpt.flushVec)
105fa7f2c26STang Haojin
1067fa2c198SYinan Xu  // WRITE: when instruction commits or walking
1077fa2c198SYinan Xu  val t1_wSpec_addr = t1_wSpec.map(w => Mux(w.wen, UIntToOH(w.addr), 0.U))
1087fa2c198SYinan Xu  for ((next, i) <- spec_table_next.zipWithIndex) {
1097fa2c198SYinan Xu    val matchVec = t1_wSpec_addr.map(w => w(i))
1107fa2c198SYinan Xu    val wMatch = ParallelPriorityMux(matchVec.reverse, t1_wSpec.map(_.data).reverse)
1117fa2c198SYinan Xu    // When there's a flush, we use arch_table to update spec_table.
112fa7f2c26STang Haojin    next := Mux(
113fa7f2c26STang Haojin      t1_redirect,
114fa7f2c26STang Haojin      Mux(t1_snpt.useSnpt, snapshots(t1_snpt.snptSelect)(i), arch_table(i)),
115fa7f2c26STang Haojin      Mux(VecInit(matchVec).asUInt.orR, wMatch, spec_table(i))
116fa7f2c26STang Haojin    )
1177fa2c198SYinan Xu  }
1187fa2c198SYinan Xu  spec_table := spec_table_next
1197fa2c198SYinan Xu
1207fa2c198SYinan Xu  // READ: decode-rename stage
121a3126b39Sxiaofeibao-xjtu  val a = io.specWritePorts.dropWhile(_ == io.specWritePorts(0))
122b034d3b9SLinJiawei  for ((r, i) <- io.readPorts.zipWithIndex) {
123a3126b39Sxiaofeibao-xjtu    r.data := t1_rdata_use_t1_raddr(i)
124b034d3b9SLinJiawei  }
125b034d3b9SLinJiawei
126dcf3a679STang Haojin  for ((w, i) <- io.archWritePorts.zipWithIndex) {
1277fa2c198SYinan Xu    when (w.wen) {
128ccfddc82SHaojin Tang      arch_table_next(w.addr) := w.data
129ce4949a0SYinan Xu    }
130dcf3a679STang Haojin    val arch_mask = VecInit.fill(PhyRegIdxWidth)(w.wen).asUInt
131dcf3a679STang Haojin    old_pdest(i) :=
132dcf3a679STang Haojin      MuxCase(arch_table(w.addr) & arch_mask,
133dcf3a679STang Haojin              io.archWritePorts.take(i).reverse.map(x => (x.wen && x.addr === w.addr, x.data & arch_mask)))
134b034d3b9SLinJiawei  }
135ccfddc82SHaojin Tang  arch_table := arch_table_next
136b034d3b9SLinJiawei
137dcf3a679STang Haojin  for (((old, free), i) <- (old_pdest zip need_free).zipWithIndex) {
138dcf3a679STang Haojin    val hasDuplicate = old_pdest.take(i).map(_ === old)
139dcf3a679STang Haojin    val blockedByDup = if (i == 0) false.B else VecInit(hasDuplicate).asUInt.orR
140dcf3a679STang Haojin    free := VecInit(arch_table.map(_ =/= old)).asUInt.andR && !blockedByDup
141dcf3a679STang Haojin  }
142dcf3a679STang Haojin
143dcf3a679STang Haojin  io.old_pdest := old_pdest
144dcf3a679STang Haojin  io.need_free := need_free
145b7d9e8d5Sxiaofeibao-xjtu  io.debug_rdata.foreach(_ := arch_table.take(32))
1463691c4dfSfdy  io.debug_vconfig match {
14783ba63b3SXuan Hu    case None =>
1483691c4dfSfdy    case x => x.get := arch_table.last
1493691c4dfSfdy  }
1503691c4dfSfdy  if (env.EnableDifftest || env.AlwaysBasicDiff) {
1513691c4dfSfdy    val difftest_table = RegInit(rename_table_init)
1523691c4dfSfdy    val difftest_table_next = WireDefault(difftest_table)
1533691c4dfSfdy
154cda1c534Sxiaofeibao-xjtu    for (w <- io.diffWritePorts.get) {
155a8db15d8Sfdy      when(w.wen) {
156a8db15d8Sfdy        difftest_table_next(w.addr) := w.data
157a8db15d8Sfdy      }
158a8db15d8Sfdy    }
159a8db15d8Sfdy    difftest_table := difftest_table_next
160a8db15d8Sfdy
161b7d9e8d5Sxiaofeibao-xjtu    io.diff_rdata.foreach(_ := difftest_table.take(32))
162a8db15d8Sfdy    io.diff_vconfig match {
16383ba63b3SXuan Hu      case None =>
164189ec863SzhanglyGit      case x => x.get := difftest_table(VCONFIG_IDX)
165a8db15d8Sfdy    }
16644dead2fSZhangZifei  }
1673691c4dfSfdy  else {
168b7d9e8d5Sxiaofeibao-xjtu    io.diff_rdata.foreach(_ := 0.U.asTypeOf(io.debug_rdata.get))
1693691c4dfSfdy    io.diff_vconfig match {
17083ba63b3SXuan Hu      case None =>
1713691c4dfSfdy      case x => x.get := 0.U
1723691c4dfSfdy    }
1733691c4dfSfdy  }
1743691c4dfSfdy}
1757fa2c198SYinan Xu
1767fa2c198SYinan Xuclass RenameTableWrapper(implicit p: Parameters) extends XSModule {
177d6f9198fSXuan Hu
178d6f9198fSXuan Hu  // params alias
179d6f9198fSXuan Hu  private val numVecRegSrc = backendParams.numVecRegSrc
180d6f9198fSXuan Hu  private val numVecRatPorts = numVecRegSrc + 1 // +1 dst
181d6f9198fSXuan Hu
1827fa2c198SYinan Xu  val io = IO(new Bundle() {
183ccfddc82SHaojin Tang    val redirect = Input(Bool())
184*6b102a39SHaojin Tang    val rabCommits = Input(new RabCommitIO)
185cda1c534Sxiaofeibao-xjtu    val diffCommits = if (backendParams.debugEn) Some(Input(new DiffCommitIO)) else None
1867fa2c198SYinan Xu    val intReadPorts = Vec(RenameWidth, Vec(3, new RatReadPort))
1877fa2c198SYinan Xu    val intRenamePorts = Vec(RenameWidth, Input(new RatWritePort))
1887fa2c198SYinan Xu    val fpReadPorts = Vec(RenameWidth, Vec(4, new RatReadPort))
1897fa2c198SYinan Xu    val fpRenamePorts = Vec(RenameWidth, Input(new RatWritePort))
190d6f9198fSXuan Hu    val vecReadPorts = Vec(RenameWidth, Vec(numVecRatPorts, new RatReadPort))
191deb6421eSHaojin Tang    val vecRenamePorts = Vec(RenameWidth, Input(new RatWritePort))
192c61abc0cSXuan Hu
193dcf3a679STang Haojin    val int_old_pdest = Vec(CommitWidth, Output(UInt(PhyRegIdxWidth.W)))
194dcf3a679STang Haojin    val fp_old_pdest = Vec(CommitWidth, Output(UInt(PhyRegIdxWidth.W)))
1953cf50307SZiyue Zhang    val vec_old_pdest = Vec(CommitWidth, Output(UInt(PhyRegIdxWidth.W)))
196dcf3a679STang Haojin    val int_need_free = Vec(CommitWidth, Output(Bool()))
197fa7f2c26STang Haojin    val snpt = Input(new SnapshotPort)
198c61abc0cSXuan Hu
1997fa2c198SYinan Xu    // for debug printing
200b7d9e8d5Sxiaofeibao-xjtu    val debug_int_rat     = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
201b7d9e8d5Sxiaofeibao-xjtu    val debug_fp_rat      = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
202b7d9e8d5Sxiaofeibao-xjtu    val debug_vec_rat     = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
203b7d9e8d5Sxiaofeibao-xjtu    val debug_vconfig_rat = if (backendParams.debugEn) Some(Output(UInt(PhyRegIdxWidth.W))) else None
204a8db15d8Sfdy
205b7d9e8d5Sxiaofeibao-xjtu    val diff_int_rat     = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
206b7d9e8d5Sxiaofeibao-xjtu    val diff_fp_rat      = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
207b7d9e8d5Sxiaofeibao-xjtu    val diff_vec_rat     = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None
208b7d9e8d5Sxiaofeibao-xjtu    val diff_vconfig_rat = if (backendParams.debugEn) Some(Output(UInt(PhyRegIdxWidth.W))) else None
2097fa2c198SYinan Xu  })
2107fa2c198SYinan Xu
211a7a8a6ccSHaojin Tang  val intRat = Module(new RenameTable(Reg_I))
212a7a8a6ccSHaojin Tang  val fpRat  = Module(new RenameTable(Reg_F))
213a7a8a6ccSHaojin Tang  val vecRat = Module(new RenameTable(Reg_V))
2147fa2c198SYinan Xu
215b7d9e8d5Sxiaofeibao-xjtu  io.debug_int_rat .foreach(_ := intRat.io.debug_rdata.get)
216b7d9e8d5Sxiaofeibao-xjtu  io.diff_int_rat  .foreach(_ := intRat.io.diff_rdata.get)
2177fa2c198SYinan Xu  intRat.io.readPorts <> io.intReadPorts.flatten
218ccfddc82SHaojin Tang  intRat.io.redirect := io.redirect
219fa7f2c26STang Haojin  intRat.io.snpt := io.snpt
220dcf3a679STang Haojin  io.int_old_pdest := intRat.io.old_pdest
221dcf3a679STang Haojin  io.int_need_free := intRat.io.need_free
222*6b102a39SHaojin Tang  val intDestValid = io.rabCommits.info.map(_.rfWen)
2237fa2c198SYinan Xu  for ((arch, i) <- intRat.io.archWritePorts.zipWithIndex) {
224*6b102a39SHaojin Tang    arch.wen  := io.rabCommits.isCommit && io.rabCommits.commitValid(i) && intDestValid(i)
225*6b102a39SHaojin Tang    arch.addr := io.rabCommits.info(i).ldest
226*6b102a39SHaojin Tang    arch.data := io.rabCommits.info(i).pdest
227c3abb8b6SYinan Xu    XSError(arch.wen && arch.addr === 0.U && arch.data =/= 0.U, "pdest for $0 should be 0\n")
2287fa2c198SYinan Xu  }
2297fa2c198SYinan Xu  for ((spec, i) <- intRat.io.specWritePorts.zipWithIndex) {
230*6b102a39SHaojin Tang    spec.wen  := io.rabCommits.isWalk && io.rabCommits.walkValid(i) && intDestValid(i)
231*6b102a39SHaojin Tang    spec.addr := io.rabCommits.info(i).ldest
232*6b102a39SHaojin Tang    spec.data := io.rabCommits.info(i).pdest
233c3abb8b6SYinan Xu    XSError(spec.wen && spec.addr === 0.U && spec.data =/= 0.U, "pdest for $0 should be 0\n")
2347fa2c198SYinan Xu  }
2357fa2c198SYinan Xu  for ((spec, rename) <- intRat.io.specWritePorts.zip(io.intRenamePorts)) {
2367fa2c198SYinan Xu    when (rename.wen) {
2377fa2c198SYinan Xu      spec.wen  := true.B
2387fa2c198SYinan Xu      spec.addr := rename.addr
2397fa2c198SYinan Xu      spec.data := rename.data
2407fa2c198SYinan Xu    }
2417fa2c198SYinan Xu  }
242cda1c534Sxiaofeibao-xjtu  if (backendParams.debugEn) {
243cda1c534Sxiaofeibao-xjtu    for ((diff, i) <- intRat.io.diffWritePorts.get.zipWithIndex) {
244cda1c534Sxiaofeibao-xjtu      diff.wen := io.diffCommits.get.isCommit && io.diffCommits.get.commitValid(i) && io.diffCommits.get.info(i).rfWen
245cda1c534Sxiaofeibao-xjtu      diff.addr := io.diffCommits.get.info(i).ldest
246cda1c534Sxiaofeibao-xjtu      diff.data := io.diffCommits.get.info(i).pdest
247cda1c534Sxiaofeibao-xjtu    }
248a8db15d8Sfdy  }
2497fa2c198SYinan Xu
2507fa2c198SYinan Xu  // debug read ports for difftest
251b7d9e8d5Sxiaofeibao-xjtu  io.debug_fp_rat.foreach(_ := fpRat.io.debug_rdata.get)
252b7d9e8d5Sxiaofeibao-xjtu  io.diff_fp_rat .foreach(_ := fpRat.io.diff_rdata.get)
2537fa2c198SYinan Xu  fpRat.io.readPorts <> io.fpReadPorts.flatten
254deb6421eSHaojin Tang  fpRat.io.redirect := io.redirect
255c61abc0cSXuan Hu  fpRat.io.snpt := io.snpt
256c61abc0cSXuan Hu  io.fp_old_pdest := fpRat.io.old_pdest
257c61abc0cSXuan Hu
2587fa2c198SYinan Xu  for ((arch, i) <- fpRat.io.archWritePorts.zipWithIndex) {
259*6b102a39SHaojin Tang    arch.wen  := io.rabCommits.isCommit && io.rabCommits.commitValid(i) && io.rabCommits.info(i).fpWen
260*6b102a39SHaojin Tang    arch.addr := io.rabCommits.info(i).ldest
261*6b102a39SHaojin Tang    arch.data := io.rabCommits.info(i).pdest
2627fa2c198SYinan Xu  }
2637fa2c198SYinan Xu  for ((spec, i) <- fpRat.io.specWritePorts.zipWithIndex) {
264*6b102a39SHaojin Tang    spec.wen  := io.rabCommits.isWalk && io.rabCommits.walkValid(i) && io.rabCommits.info(i).fpWen
265*6b102a39SHaojin Tang    spec.addr := io.rabCommits.info(i).ldest
266*6b102a39SHaojin Tang    spec.data := io.rabCommits.info(i).pdest
2677fa2c198SYinan Xu  }
2687fa2c198SYinan Xu  for ((spec, rename) <- fpRat.io.specWritePorts.zip(io.fpRenamePorts)) {
2697fa2c198SYinan Xu    when (rename.wen) {
2707fa2c198SYinan Xu      spec.wen  := true.B
2717fa2c198SYinan Xu      spec.addr := rename.addr
2727fa2c198SYinan Xu      spec.data := rename.data
2737fa2c198SYinan Xu    }
2747fa2c198SYinan Xu  }
275cda1c534Sxiaofeibao-xjtu  if (backendParams.debugEn) {
276cda1c534Sxiaofeibao-xjtu    for ((diff, i) <- fpRat.io.diffWritePorts.get.zipWithIndex) {
277cda1c534Sxiaofeibao-xjtu      diff.wen := io.diffCommits.get.isCommit && io.diffCommits.get.commitValid(i) && io.diffCommits.get.info(i).fpWen
278cda1c534Sxiaofeibao-xjtu      diff.addr := io.diffCommits.get.info(i).ldest
279cda1c534Sxiaofeibao-xjtu      diff.data := io.diffCommits.get.info(i).pdest
280a8db15d8Sfdy    }
281cda1c534Sxiaofeibao-xjtu  }
282deb6421eSHaojin Tang  // debug read ports for difftest
283b7d9e8d5Sxiaofeibao-xjtu  io.debug_vec_rat    .foreach(_ := vecRat.io.debug_rdata.get)
284b7d9e8d5Sxiaofeibao-xjtu  io.debug_vconfig_rat.foreach(_ := vecRat.io.debug_vconfig.get)
285b7d9e8d5Sxiaofeibao-xjtu  io.diff_vec_rat     .foreach(_ := vecRat.io.diff_rdata.get)
286b7d9e8d5Sxiaofeibao-xjtu  io.diff_vconfig_rat .foreach(_ := vecRat.io.diff_vconfig.get)
287deb6421eSHaojin Tang  vecRat.io.readPorts <> io.vecReadPorts.flatten
288deb6421eSHaojin Tang  vecRat.io.redirect := io.redirect
289870f462dSXuan Hu  vecRat.io.snpt := io.snpt
2903cf50307SZiyue Zhang  io.vec_old_pdest := vecRat.io.old_pdest
291870f462dSXuan Hu
29240a70bd6SZhangZifei  //TODO: RM the donTouch
2938d081717Sszw_kaixin  if(backendParams.debugEn) {
29440a70bd6SZhangZifei    dontTouch(vecRat.io)
2958d081717Sszw_kaixin  }
296deb6421eSHaojin Tang  for ((arch, i) <- vecRat.io.archWritePorts.zipWithIndex) {
297*6b102a39SHaojin Tang    arch.wen  := io.rabCommits.isCommit && io.rabCommits.commitValid(i) && io.rabCommits.info(i).vecWen
298*6b102a39SHaojin Tang    arch.addr := io.rabCommits.info(i).ldest
299*6b102a39SHaojin Tang    arch.data := io.rabCommits.info(i).pdest
300deb6421eSHaojin Tang  }
301deb6421eSHaojin Tang  for ((spec, i) <- vecRat.io.specWritePorts.zipWithIndex) {
302*6b102a39SHaojin Tang    spec.wen  := io.rabCommits.isWalk && io.rabCommits.walkValid(i) && io.rabCommits.info(i).vecWen
303*6b102a39SHaojin Tang    spec.addr := io.rabCommits.info(i).ldest
304*6b102a39SHaojin Tang    spec.data := io.rabCommits.info(i).pdest
305deb6421eSHaojin Tang  }
306deb6421eSHaojin Tang  for ((spec, rename) <- vecRat.io.specWritePorts.zip(io.vecRenamePorts)) {
307deb6421eSHaojin Tang    when (rename.wen) {
308deb6421eSHaojin Tang      spec.wen  := true.B
309deb6421eSHaojin Tang      spec.addr := rename.addr
310deb6421eSHaojin Tang      spec.data := rename.data
311deb6421eSHaojin Tang    }
312deb6421eSHaojin Tang  }
313cda1c534Sxiaofeibao-xjtu  if (backendParams.debugEn) {
314cda1c534Sxiaofeibao-xjtu    for ((diff, i) <- vecRat.io.diffWritePorts.get.zipWithIndex) {
315cda1c534Sxiaofeibao-xjtu      diff.wen := io.diffCommits.get.isCommit && io.diffCommits.get.commitValid(i) && io.diffCommits.get.info(i).vecWen
316cda1c534Sxiaofeibao-xjtu      diff.addr := io.diffCommits.get.info(i).ldest
317cda1c534Sxiaofeibao-xjtu      diff.data := io.diffCommits.get.info(i).pdest
318a8db15d8Sfdy    }
319cda1c534Sxiaofeibao-xjtu  }
3207fa2c198SYinan Xu}
321