1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17b034d3b9SLinJiaweipackage xiangshan.backend.rename 18b034d3b9SLinJiawei 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 20b034d3b9SLinJiaweiimport chisel3._ 21b034d3b9SLinJiaweiimport chisel3.util._ 223c02ee8fSwakafaimport utility.ParallelPriorityMux 233c02ee8fSwakafaimport utils.XSError 24b034d3b9SLinJiaweiimport xiangshan._ 25b034d3b9SLinJiawei 26a7a8a6ccSHaojin Tangabstract class RegType 27a7a8a6ccSHaojin Tangcase object Reg_I extends RegType 28a7a8a6ccSHaojin Tangcase object Reg_F extends RegType 29a7a8a6ccSHaojin Tangcase object Reg_V extends RegType 30a7a8a6ccSHaojin Tang 312225d46eSJiawei Linclass RatReadPort(implicit p: Parameters) extends XSBundle { 327fa2c198SYinan Xu val hold = Input(Bool()) 33a7a8a6ccSHaojin Tang val addr = Input(UInt(6.W)) 347fa2c198SYinan Xu val data = Output(UInt(PhyRegIdxWidth.W)) 35b034d3b9SLinJiawei} 36b034d3b9SLinJiawei 372225d46eSJiawei Linclass RatWritePort(implicit p: Parameters) extends XSBundle { 387fa2c198SYinan Xu val wen = Bool() 39a7a8a6ccSHaojin Tang val addr = UInt(6.W) 407fa2c198SYinan Xu val data = UInt(PhyRegIdxWidth.W) 41b034d3b9SLinJiawei} 42b034d3b9SLinJiawei 43a7a8a6ccSHaojin Tangclass RenameTable(reg_t: RegType)(implicit p: Parameters) extends XSModule { 44d6f9198fSXuan Hu 45d6f9198fSXuan Hu // params alias 46d6f9198fSXuan Hu private val numVecRegSrc = backendParams.numVecRegSrc 47d6f9198fSXuan Hu private val numVecRatPorts = numVecRegSrc + 1 // +1 dst 48d6f9198fSXuan Hu 49a7a8a6ccSHaojin Tang val readPortsNum = reg_t match { 50a7a8a6ccSHaojin Tang case Reg_I => 3 51a7a8a6ccSHaojin Tang case Reg_F => 4 52d6f9198fSXuan Hu case Reg_V => numVecRatPorts // +1 ldest 53a7a8a6ccSHaojin Tang } 5466b2c4a4SYinan Xu val io = IO(new Bundle { 55ccfddc82SHaojin Tang val redirect = Input(Bool()) 56a7a8a6ccSHaojin Tang val readPorts = Vec(readPortsNum * RenameWidth, new RatReadPort) 577fa2c198SYinan Xu val specWritePorts = Vec(CommitWidth, Input(new RatWritePort)) 587fa2c198SYinan Xu val archWritePorts = Vec(CommitWidth, Input(new RatWritePort)) 59a8db15d8Sfdy val diffWritePorts = Vec(CommitWidth * MaxUopSize, Input(new RatWritePort)) 602225d46eSJiawei Lin val debug_rdata = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 61a7a8a6ccSHaojin Tang val debug_vconfig = reg_t match { // vconfig is implemented as int reg[32] 62a8db15d8Sfdy case Reg_V => Some(Output(UInt(PhyRegIdxWidth.W))) 63a8db15d8Sfdy case _ => None 64a8db15d8Sfdy } 65a8db15d8Sfdy val diff_rdata = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 66a8db15d8Sfdy val diff_vconfig = reg_t match { 67a8db15d8Sfdy case Reg_V => Some(Output(UInt(PhyRegIdxWidth.W))) 68a7a8a6ccSHaojin Tang case _ => None 69a7a8a6ccSHaojin Tang } 70b034d3b9SLinJiawei }) 71b034d3b9SLinJiawei 72b034d3b9SLinJiawei // speculative rename table 73a7a8a6ccSHaojin Tang // fp and vec share the same free list, so the first init value of vecRAT is 32 74a7a8a6ccSHaojin Tang val rename_table_init = reg_t match { 75d91483a6Sfdy case Reg_I => VecInit.fill (IntLogicRegs)(0.U(PhyRegIdxWidth.W)) 76d91483a6Sfdy case Reg_F => VecInit.tabulate(FpLogicRegs)(_.U(PhyRegIdxWidth.W)) 77d91483a6Sfdy case Reg_V => VecInit.tabulate(VecLogicRegs)(x => (x + FpLogicRegs).U(PhyRegIdxWidth.W)) 78a7a8a6ccSHaojin Tang } 7966b2c4a4SYinan Xu val spec_table = RegInit(rename_table_init) 807fa2c198SYinan Xu val spec_table_next = WireInit(spec_table) 81b034d3b9SLinJiawei // arch state rename table 8266b2c4a4SYinan Xu val arch_table = RegInit(rename_table_init) 83ccfddc82SHaojin Tang val arch_table_next = WireDefault(arch_table) 84b034d3b9SLinJiawei 857fa2c198SYinan Xu // For better timing, we optimize reading and writing to RenameTable as follows: 867fa2c198SYinan Xu // (1) Writing at T0 will be actually processed at T1. 877fa2c198SYinan Xu // (2) Reading is synchronous now. 887fa2c198SYinan Xu // (3) RAddr at T0 will be used to access the table and get data at T0. 897fa2c198SYinan Xu // (4) WData at T0 is bypassed to RData at T1. 90ccfddc82SHaojin Tang val t1_redirect = RegNext(io.redirect, false.B) 917fa2c198SYinan Xu val t1_rdata = io.readPorts.map(p => RegNext(Mux(p.hold, p.data, spec_table_next(p.addr)))) 927fa2c198SYinan Xu val t1_raddr = io.readPorts.map(p => RegEnable(p.addr, !p.hold)) 93ccfddc82SHaojin Tang val t1_wSpec = RegNext(Mux(io.redirect, 0.U.asTypeOf(io.specWritePorts), io.specWritePorts)) 94b034d3b9SLinJiawei 957fa2c198SYinan Xu // WRITE: when instruction commits or walking 967fa2c198SYinan Xu val t1_wSpec_addr = t1_wSpec.map(w => Mux(w.wen, UIntToOH(w.addr), 0.U)) 977fa2c198SYinan Xu for ((next, i) <- spec_table_next.zipWithIndex) { 987fa2c198SYinan Xu val matchVec = t1_wSpec_addr.map(w => w(i)) 997fa2c198SYinan Xu val wMatch = ParallelPriorityMux(matchVec.reverse, t1_wSpec.map(_.data).reverse) 1007fa2c198SYinan Xu // When there's a flush, we use arch_table to update spec_table. 101ccfddc82SHaojin Tang next := Mux(t1_redirect, arch_table(i), Mux(VecInit(matchVec).asUInt.orR, wMatch, spec_table(i))) 1027fa2c198SYinan Xu } 1037fa2c198SYinan Xu spec_table := spec_table_next 1047fa2c198SYinan Xu 1057fa2c198SYinan Xu // READ: decode-rename stage 106b034d3b9SLinJiawei for ((r, i) <- io.readPorts.zipWithIndex) { 1077fa2c198SYinan Xu // We use two comparisons here because r.hold has bad timing but addrs have better timing. 1087fa2c198SYinan Xu val t0_bypass = io.specWritePorts.map(w => w.wen && Mux(r.hold, w.addr === t1_raddr(i), w.addr === r.addr)) 109ccfddc82SHaojin Tang val t1_bypass = RegNext(Mux(io.redirect, 0.U.asTypeOf(VecInit(t0_bypass)), VecInit(t0_bypass))) 1107fa2c198SYinan Xu val bypass_data = ParallelPriorityMux(t1_bypass.reverse, t1_wSpec.map(_.data).reverse) 1117fa2c198SYinan Xu r.data := Mux(t1_bypass.asUInt.orR, bypass_data, t1_rdata(i)) 112b034d3b9SLinJiawei } 113b034d3b9SLinJiawei 114b034d3b9SLinJiawei for (w <- io.archWritePorts) { 1157fa2c198SYinan Xu when (w.wen) { 116ccfddc82SHaojin Tang arch_table_next(w.addr) := w.data 117ce4949a0SYinan Xu } 118b034d3b9SLinJiawei } 119ccfddc82SHaojin Tang arch_table := arch_table_next 120b034d3b9SLinJiawei 121*3691c4dfSfdy io.debug_rdata := arch_table.take(32) 122*3691c4dfSfdy io.debug_vconfig match { 123*3691c4dfSfdy case None => Unit 124*3691c4dfSfdy case x => x.get := arch_table.last 125*3691c4dfSfdy } 126*3691c4dfSfdy if (env.EnableDifftest || env.AlwaysBasicDiff) { 127*3691c4dfSfdy val difftest_table = RegInit(rename_table_init) 128*3691c4dfSfdy val difftest_table_next = WireDefault(difftest_table) 129*3691c4dfSfdy 130a8db15d8Sfdy for (w <- io.diffWritePorts) { 131a8db15d8Sfdy when(w.wen) { 132a8db15d8Sfdy difftest_table_next(w.addr) := w.data 133a8db15d8Sfdy } 134a8db15d8Sfdy } 135a8db15d8Sfdy difftest_table := difftest_table_next 136a8db15d8Sfdy 137a8db15d8Sfdy io.diff_rdata := difftest_table.take(32) 138a8db15d8Sfdy io.diff_vconfig match { 139a8db15d8Sfdy case None => Unit 140189ec863SzhanglyGit case x => x.get := difftest_table(VCONFIG_IDX) 141a8db15d8Sfdy } 14244dead2fSZhangZifei } 143*3691c4dfSfdy else { 144*3691c4dfSfdy io.diff_rdata := 0.U.asTypeOf(io.debug_rdata) 145*3691c4dfSfdy io.diff_vconfig match { 146*3691c4dfSfdy case None => Unit 147*3691c4dfSfdy case x => x.get := 0.U 148*3691c4dfSfdy } 149*3691c4dfSfdy } 150*3691c4dfSfdy} 1517fa2c198SYinan Xu 1527fa2c198SYinan Xuclass RenameTableWrapper(implicit p: Parameters) extends XSModule { 153d6f9198fSXuan Hu 154d6f9198fSXuan Hu // params alias 155d6f9198fSXuan Hu private val numVecRegSrc = backendParams.numVecRegSrc 156d6f9198fSXuan Hu private val numVecRatPorts = numVecRegSrc + 1 // +1 dst 157d6f9198fSXuan Hu 1587fa2c198SYinan Xu val io = IO(new Bundle() { 159ccfddc82SHaojin Tang val redirect = Input(Bool()) 160ccfddc82SHaojin Tang val robCommits = Input(new RobCommitIO) 161a8db15d8Sfdy val diffCommits = Input(new DiffCommitIO) 1627fa2c198SYinan Xu val intReadPorts = Vec(RenameWidth, Vec(3, new RatReadPort)) 1637fa2c198SYinan Xu val intRenamePorts = Vec(RenameWidth, Input(new RatWritePort)) 1647fa2c198SYinan Xu val fpReadPorts = Vec(RenameWidth, Vec(4, new RatReadPort)) 1657fa2c198SYinan Xu val fpRenamePorts = Vec(RenameWidth, Input(new RatWritePort)) 166d6f9198fSXuan Hu val vecReadPorts = Vec(RenameWidth, Vec(numVecRatPorts, new RatReadPort)) 167deb6421eSHaojin Tang val vecRenamePorts = Vec(RenameWidth, Input(new RatWritePort)) 1687fa2c198SYinan Xu // for debug printing 1697fa2c198SYinan Xu val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 1707fa2c198SYinan Xu val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 171deb6421eSHaojin Tang val debug_vec_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 172a7a8a6ccSHaojin Tang val debug_vconfig_rat = Output(UInt(PhyRegIdxWidth.W)) 173a8db15d8Sfdy 174a8db15d8Sfdy val diff_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 175a8db15d8Sfdy val diff_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 176a8db15d8Sfdy val diff_vec_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W))) 177a8db15d8Sfdy val diff_vconfig_rat = Output(UInt(PhyRegIdxWidth.W)) 1787fa2c198SYinan Xu }) 1797fa2c198SYinan Xu 180a7a8a6ccSHaojin Tang val intRat = Module(new RenameTable(Reg_I)) 181a7a8a6ccSHaojin Tang val fpRat = Module(new RenameTable(Reg_F)) 182a7a8a6ccSHaojin Tang val vecRat = Module(new RenameTable(Reg_V)) 1837fa2c198SYinan Xu 184a7a8a6ccSHaojin Tang io.debug_int_rat := intRat.io.debug_rdata 185a8db15d8Sfdy io.diff_int_rat := intRat.io.diff_rdata 1867fa2c198SYinan Xu intRat.io.readPorts <> io.intReadPorts.flatten 187ccfddc82SHaojin Tang intRat.io.redirect := io.redirect 188c3abb8b6SYinan Xu val intDestValid = io.robCommits.info.map(_.rfWen) 1897fa2c198SYinan Xu for ((arch, i) <- intRat.io.archWritePorts.zipWithIndex) { 1906474c47fSYinan Xu arch.wen := io.robCommits.isCommit && io.robCommits.commitValid(i) && intDestValid(i) 1917fa2c198SYinan Xu arch.addr := io.robCommits.info(i).ldest 1927fa2c198SYinan Xu arch.data := io.robCommits.info(i).pdest 193c3abb8b6SYinan Xu XSError(arch.wen && arch.addr === 0.U && arch.data =/= 0.U, "pdest for $0 should be 0\n") 1947fa2c198SYinan Xu } 1957fa2c198SYinan Xu for ((spec, i) <- intRat.io.specWritePorts.zipWithIndex) { 1966474c47fSYinan Xu spec.wen := io.robCommits.isWalk && io.robCommits.walkValid(i) && intDestValid(i) 1977fa2c198SYinan Xu spec.addr := io.robCommits.info(i).ldest 198ccfddc82SHaojin Tang spec.data := io.robCommits.info(i).pdest 199c3abb8b6SYinan Xu XSError(spec.wen && spec.addr === 0.U && spec.data =/= 0.U, "pdest for $0 should be 0\n") 2007fa2c198SYinan Xu } 2017fa2c198SYinan Xu for ((spec, rename) <- intRat.io.specWritePorts.zip(io.intRenamePorts)) { 2027fa2c198SYinan Xu when (rename.wen) { 2037fa2c198SYinan Xu spec.wen := true.B 2047fa2c198SYinan Xu spec.addr := rename.addr 2057fa2c198SYinan Xu spec.data := rename.data 2067fa2c198SYinan Xu } 2077fa2c198SYinan Xu } 208a8db15d8Sfdy for ((diff, i) <- intRat.io.diffWritePorts.zipWithIndex) { 209a8db15d8Sfdy diff.wen := io.diffCommits.isCommit && io.diffCommits.commitValid(i) && io.diffCommits.info(i).rfWen 210a8db15d8Sfdy diff.addr := io.diffCommits.info(i).ldest 211a8db15d8Sfdy diff.data := io.diffCommits.info(i).pdest 212a8db15d8Sfdy } 2137fa2c198SYinan Xu 2147fa2c198SYinan Xu // debug read ports for difftest 215a7a8a6ccSHaojin Tang io.debug_fp_rat := fpRat.io.debug_rdata 216a8db15d8Sfdy io.diff_fp_rat := fpRat.io.diff_rdata 2177fa2c198SYinan Xu fpRat.io.readPorts <> io.fpReadPorts.flatten 218deb6421eSHaojin Tang fpRat.io.redirect := io.redirect 2197fa2c198SYinan Xu for ((arch, i) <- fpRat.io.archWritePorts.zipWithIndex) { 2206474c47fSYinan Xu arch.wen := io.robCommits.isCommit && io.robCommits.commitValid(i) && io.robCommits.info(i).fpWen 2217fa2c198SYinan Xu arch.addr := io.robCommits.info(i).ldest 2227fa2c198SYinan Xu arch.data := io.robCommits.info(i).pdest 2237fa2c198SYinan Xu } 2247fa2c198SYinan Xu for ((spec, i) <- fpRat.io.specWritePorts.zipWithIndex) { 2256474c47fSYinan Xu spec.wen := io.robCommits.isWalk && io.robCommits.walkValid(i) && io.robCommits.info(i).fpWen 2267fa2c198SYinan Xu spec.addr := io.robCommits.info(i).ldest 227ccfddc82SHaojin Tang spec.data := io.robCommits.info(i).pdest 2287fa2c198SYinan Xu } 2297fa2c198SYinan Xu for ((spec, rename) <- fpRat.io.specWritePorts.zip(io.fpRenamePorts)) { 2307fa2c198SYinan Xu when (rename.wen) { 2317fa2c198SYinan Xu spec.wen := true.B 2327fa2c198SYinan Xu spec.addr := rename.addr 2337fa2c198SYinan Xu spec.data := rename.data 2347fa2c198SYinan Xu } 2357fa2c198SYinan Xu } 236a8db15d8Sfdy for ((diff, i) <- fpRat.io.diffWritePorts.zipWithIndex) { 237a8db15d8Sfdy diff.wen := io.diffCommits.isCommit && io.diffCommits.commitValid(i) && io.diffCommits.info(i).fpWen 238a8db15d8Sfdy diff.addr := io.diffCommits.info(i).ldest 239a8db15d8Sfdy diff.data := io.diffCommits.info(i).pdest 240a8db15d8Sfdy } 2417fa2c198SYinan Xu 242deb6421eSHaojin Tang // debug read ports for difftest 243a7a8a6ccSHaojin Tang io.debug_vec_rat := vecRat.io.debug_rdata 244a8db15d8Sfdy io.debug_vconfig_rat := vecRat.io.debug_vconfig.get 245a8db15d8Sfdy io.diff_vec_rat := vecRat.io.diff_rdata 246a8db15d8Sfdy io.diff_vconfig_rat := vecRat.io.diff_vconfig.get 247deb6421eSHaojin Tang vecRat.io.readPorts <> io.vecReadPorts.flatten 248deb6421eSHaojin Tang vecRat.io.redirect := io.redirect 24940a70bd6SZhangZifei //TODO: RM the donTouch 25040a70bd6SZhangZifei dontTouch(vecRat.io) 251deb6421eSHaojin Tang for ((arch, i) <- vecRat.io.archWritePorts.zipWithIndex) { 252deb6421eSHaojin Tang arch.wen := io.robCommits.isCommit && io.robCommits.commitValid(i) && io.robCommits.info(i).vecWen 253deb6421eSHaojin Tang arch.addr := io.robCommits.info(i).ldest 254deb6421eSHaojin Tang arch.data := io.robCommits.info(i).pdest 255deb6421eSHaojin Tang } 256deb6421eSHaojin Tang for ((spec, i) <- vecRat.io.specWritePorts.zipWithIndex) { 257deb6421eSHaojin Tang spec.wen := io.robCommits.isWalk && io.robCommits.walkValid(i) && io.robCommits.info(i).vecWen 258deb6421eSHaojin Tang spec.addr := io.robCommits.info(i).ldest 259deb6421eSHaojin Tang spec.data := io.robCommits.info(i).pdest 260deb6421eSHaojin Tang } 261deb6421eSHaojin Tang for ((spec, rename) <- vecRat.io.specWritePorts.zip(io.vecRenamePorts)) { 262deb6421eSHaojin Tang when (rename.wen) { 263deb6421eSHaojin Tang spec.wen := true.B 264deb6421eSHaojin Tang spec.addr := rename.addr 265deb6421eSHaojin Tang spec.data := rename.data 266deb6421eSHaojin Tang } 267deb6421eSHaojin Tang } 268a8db15d8Sfdy for ((diff, i) <- vecRat.io.diffWritePorts.zipWithIndex) { 269a8db15d8Sfdy diff.wen := io.diffCommits.isCommit && io.diffCommits.commitValid(i) && io.diffCommits.info(i).vecWen 270a8db15d8Sfdy diff.addr := io.diffCommits.info(i).ldest 271a8db15d8Sfdy diff.data := io.diffCommits.info(i).pdest 272a8db15d8Sfdy } 273deb6421eSHaojin Tang 2747fa2c198SYinan Xu} 275