1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 17b034d3b9SLinJiaweipackage xiangshan.backend.rename 18b034d3b9SLinJiawei 198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters 20b034d3b9SLinJiaweiimport chisel3._ 21b034d3b9SLinJiaweiimport chisel3.util._ 22fa7f2c26STang Haojinimport utility.HasCircularQueuePtrHelper 233c02ee8fSwakafaimport utility.ParallelPriorityMux 245f8b6c9eSsinceforYyimport utility.GatedValidRegNext 25bb2f3f51STang Haojinimport utility.XSError 26b034d3b9SLinJiaweiimport xiangshan._ 27b034d3b9SLinJiawei 28a7a8a6ccSHaojin Tangabstract class RegType 29a7a8a6ccSHaojin Tangcase object Reg_I extends RegType 30a7a8a6ccSHaojin Tangcase object Reg_F extends RegType 31a7a8a6ccSHaojin Tangcase object Reg_V extends RegType 32368cbcecSxiaofeibaocase object Reg_V0 extends RegType 33368cbcecSxiaofeibaocase object Reg_Vl extends RegType 34a7a8a6ccSHaojin Tang 35ad5c9e6eSJunxiong Jiclass RatReadPort(ratAddrWidth: Int)(implicit p: Parameters) extends XSBundle { 367fa2c198SYinan Xu val hold = Input(Bool()) 37ad5c9e6eSJunxiong Ji val addr = Input(UInt(ratAddrWidth.W)) 387fa2c198SYinan Xu val data = Output(UInt(PhyRegIdxWidth.W)) 39b034d3b9SLinJiawei} 40b034d3b9SLinJiawei 41ad5c9e6eSJunxiong Jiclass RatWritePort(ratAddrWidth: Int)(implicit p: Parameters) extends XSBundle { 427fa2c198SYinan Xu val wen = Bool() 43ad5c9e6eSJunxiong Ji val addr = UInt(ratAddrWidth.W) 447fa2c198SYinan Xu val data = UInt(PhyRegIdxWidth.W) 45b034d3b9SLinJiawei} 46b034d3b9SLinJiawei 47c61abc0cSXuan Huclass RenameTable(reg_t: RegType)(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper { 48d6f9198fSXuan Hu 49d6f9198fSXuan Hu // params alias 50d6f9198fSXuan Hu private val numVecRegSrc = backendParams.numVecRegSrc 515718c384SHaojin Tang private val numVecRatPorts = numVecRegSrc 52d6f9198fSXuan Hu 53a7a8a6ccSHaojin Tang val readPortsNum = reg_t match { 545718c384SHaojin Tang case Reg_I => 2 555718c384SHaojin Tang case Reg_F => 3 562cf47c6eSxiaofeibao case Reg_V => 3 57368cbcecSxiaofeibao case Reg_V0 => 1 58368cbcecSxiaofeibao case Reg_Vl => 1 59368cbcecSxiaofeibao } 60368cbcecSxiaofeibao val rdataNums = reg_t match { 61368cbcecSxiaofeibao case Reg_I => 32 62368cbcecSxiaofeibao case Reg_F => 32 63368cbcecSxiaofeibao case Reg_V => 31 // no v0 64368cbcecSxiaofeibao case Reg_V0 => 1 // v0 65368cbcecSxiaofeibao case Reg_Vl => 1 // vl 66a7a8a6ccSHaojin Tang } 67ad5c9e6eSJunxiong Ji val renameTableWidth = reg_t match { 68ad5c9e6eSJunxiong Ji case Reg_I => log2Ceil(IntLogicRegs) 69ad5c9e6eSJunxiong Ji case Reg_F => log2Ceil(FpLogicRegs) 70ad5c9e6eSJunxiong Ji case Reg_V => log2Ceil(VecLogicRegs) 71ad5c9e6eSJunxiong Ji case Reg_V0 => log2Ceil(V0LogicRegs) 72ad5c9e6eSJunxiong Ji case Reg_Vl => log2Ceil(VlLogicRegs) 73ad5c9e6eSJunxiong Ji } 74ad5c9e6eSJunxiong Ji 7566b2c4a4SYinan Xu val io = IO(new Bundle { 76ccfddc82SHaojin Tang val redirect = Input(Bool()) 77ad5c9e6eSJunxiong Ji val readPorts = Vec(readPortsNum * RenameWidth, new RatReadPort(renameTableWidth)) 78ad5c9e6eSJunxiong Ji val specWritePorts = Vec(RabCommitWidth, Input(new RatWritePort(renameTableWidth))) 79ad5c9e6eSJunxiong Ji val archWritePorts = Vec(RabCommitWidth, Input(new RatWritePort(renameTableWidth))) 80780712aaSxiaofeibao-xjtu val old_pdest = Vec(RabCommitWidth, Output(UInt(PhyRegIdxWidth.W))) 81780712aaSxiaofeibao-xjtu val need_free = Vec(RabCommitWidth, Output(Bool())) 82fa7f2c26STang Haojin val snpt = Input(new SnapshotPort) 8363d67ef3STang Haojin val diffWritePorts = if (backendParams.basicDebugEn) Some(Vec(RabCommitWidth * MaxUopSize, Input(new RatWritePort(renameTableWidth)))) else None 84368cbcecSxiaofeibao val debug_rdata = if (backendParams.debugEn) Some(Vec(rdataNums, Output(UInt(PhyRegIdxWidth.W)))) else None 8563d67ef3STang Haojin val diff_rdata = if (backendParams.basicDebugEn) Some(Vec(rdataNums, Output(UInt(PhyRegIdxWidth.W)))) else None 86368cbcecSxiaofeibao val debug_v0 = if (backendParams.debugEn) reg_t match { 87368cbcecSxiaofeibao case Reg_V0 => Some(Output(UInt(PhyRegIdxWidth.W))) 88a8db15d8Sfdy case _ => None 89b7d9e8d5Sxiaofeibao-xjtu } else None 90368cbcecSxiaofeibao val diff_v0 = if (backendParams.debugEn) reg_t match { 91368cbcecSxiaofeibao case Reg_V0 => Some(Output(UInt(PhyRegIdxWidth.W))) 92368cbcecSxiaofeibao case _ => None 93368cbcecSxiaofeibao } else None 94368cbcecSxiaofeibao val debug_vl = if (backendParams.debugEn) reg_t match { 95368cbcecSxiaofeibao case Reg_Vl => Some(Output(UInt(PhyRegIdxWidth.W))) 96368cbcecSxiaofeibao case _ => None 97368cbcecSxiaofeibao } else None 98368cbcecSxiaofeibao val diff_vl = if (backendParams.debugEn) reg_t match { 99368cbcecSxiaofeibao case Reg_Vl => Some(Output(UInt(PhyRegIdxWidth.W))) 100a7a8a6ccSHaojin Tang case _ => None 101b7d9e8d5Sxiaofeibao-xjtu } else None 102b034d3b9SLinJiawei }) 103b034d3b9SLinJiawei 104b034d3b9SLinJiawei // speculative rename table 105a7a8a6ccSHaojin Tang val rename_table_init = reg_t match { 106d91483a6Sfdy case Reg_I => VecInit.fill (IntLogicRegs)(0.U(PhyRegIdxWidth.W)) 107d91483a6Sfdy case Reg_F => VecInit.tabulate(FpLogicRegs)(_.U(PhyRegIdxWidth.W)) 1084eebf274Ssinsanction case Reg_V => VecInit.tabulate(VecLogicRegs)(_.U(PhyRegIdxWidth.W)) 109435f48a8Sxiaofeibao case Reg_V0 => VecInit.tabulate(V0LogicRegs)(_.U(PhyRegIdxWidth.W)) 110435f48a8Sxiaofeibao case Reg_Vl => VecInit.tabulate(VlLogicRegs)(_.U(PhyRegIdxWidth.W)) 111a7a8a6ccSHaojin Tang } 11266b2c4a4SYinan Xu val spec_table = RegInit(rename_table_init) 1137fa2c198SYinan Xu val spec_table_next = WireInit(spec_table) 114b034d3b9SLinJiawei // arch state rename table 11566b2c4a4SYinan Xu val arch_table = RegInit(rename_table_init) 116ccfddc82SHaojin Tang val arch_table_next = WireDefault(arch_table) 117dcf3a679STang Haojin // old_pdest 118780712aaSxiaofeibao-xjtu val old_pdest = RegInit(VecInit.fill(RabCommitWidth)(0.U(PhyRegIdxWidth.W))) 119780712aaSxiaofeibao-xjtu val need_free = RegInit(VecInit.fill(RabCommitWidth)(false.B)) 120b034d3b9SLinJiawei 1217fa2c198SYinan Xu // For better timing, we optimize reading and writing to RenameTable as follows: 1227fa2c198SYinan Xu // (1) Writing at T0 will be actually processed at T1. 1237fa2c198SYinan Xu // (2) Reading is synchronous now. 1247fa2c198SYinan Xu // (3) RAddr at T0 will be used to access the table and get data at T0. 1257fa2c198SYinan Xu // (4) WData at T0 is bypassed to RData at T1. 1265f8b6c9eSsinceforYy val t1_redirect = GatedValidRegNext(io.redirect, false.B) 1277fa2c198SYinan Xu val t1_raddr = io.readPorts.map(p => RegEnable(p.addr, !p.hold)) 12863a2eab5SzhanglyGit val t1_rdata_use_t1_raddr = VecInit(t1_raddr.map(spec_table(_))) 129ccfddc82SHaojin Tang val t1_wSpec = RegNext(Mux(io.redirect, 0.U.asTypeOf(io.specWritePorts), io.specWritePorts)) 130b034d3b9SLinJiawei 131fa7f2c26STang Haojin val t1_snpt = RegNext(io.snpt, 0.U.asTypeOf(io.snpt)) 132*3019c601Sxiaofeibao val t2_snpt = RegNext(t1_snpt, 0.U.asTypeOf(io.snpt)) 133fa7f2c26STang Haojin 134c4b56310SHaojin Tang val snapshots = SnapshotGenerator(spec_table, t1_snpt.snptEnq, t1_snpt.snptDeq, t1_redirect, t1_snpt.flushVec) 135fa7f2c26STang Haojin 1367fa2c198SYinan Xu // WRITE: when instruction commits or walking 1377fa2c198SYinan Xu val t1_wSpec_addr = t1_wSpec.map(w => Mux(w.wen, UIntToOH(w.addr), 0.U)) 1387fa2c198SYinan Xu for ((next, i) <- spec_table_next.zipWithIndex) { 1397fa2c198SYinan Xu val matchVec = t1_wSpec_addr.map(w => w(i)) 1407fa2c198SYinan Xu val wMatch = ParallelPriorityMux(matchVec.reverse, t1_wSpec.map(_.data).reverse) 1417fa2c198SYinan Xu // When there's a flush, we use arch_table to update spec_table. 142fa7f2c26STang Haojin next := Mux( 143*3019c601Sxiaofeibao RegNext(t1_redirect), 144*3019c601Sxiaofeibao Mux(t2_snpt.useSnpt, snapshots(t2_snpt.snptSelect)(i), arch_table(i)), 145fa7f2c26STang Haojin Mux(VecInit(matchVec).asUInt.orR, wMatch, spec_table(i)) 146fa7f2c26STang Haojin ) 1477fa2c198SYinan Xu } 1487fa2c198SYinan Xu spec_table := spec_table_next 1497fa2c198SYinan Xu 1507fa2c198SYinan Xu // READ: decode-rename stage 151b034d3b9SLinJiawei for ((r, i) <- io.readPorts.zipWithIndex) { 15263a2eab5SzhanglyGit val t0_bypass = io.specWritePorts.map(w => w.wen && Mux(r.hold, w.addr === t1_raddr(i), w.addr === r.addr)) 15363a2eab5SzhanglyGit val t1_bypass = RegNext(Mux(io.redirect, 0.U.asTypeOf(VecInit(t0_bypass)), VecInit(t0_bypass))) 15463a2eab5SzhanglyGit val bypass_data = ParallelPriorityMux(t1_bypass.reverse, t1_wSpec.map(_.data).reverse) 15563a2eab5SzhanglyGit r.data := Mux(t1_bypass.asUInt.orR, bypass_data, t1_rdata_use_t1_raddr(i)) 156b034d3b9SLinJiawei } 157b034d3b9SLinJiawei 158dcf3a679STang Haojin for ((w, i) <- io.archWritePorts.zipWithIndex) { 1597fa2c198SYinan Xu when (w.wen) { 160ccfddc82SHaojin Tang arch_table_next(w.addr) := w.data 161ce4949a0SYinan Xu } 162dcf3a679STang Haojin val arch_mask = VecInit.fill(PhyRegIdxWidth)(w.wen).asUInt 163dcf3a679STang Haojin old_pdest(i) := 164dcf3a679STang Haojin MuxCase(arch_table(w.addr) & arch_mask, 165dcf3a679STang Haojin io.archWritePorts.take(i).reverse.map(x => (x.wen && x.addr === w.addr, x.data & arch_mask))) 166b034d3b9SLinJiawei } 167ccfddc82SHaojin Tang arch_table := arch_table_next 168b034d3b9SLinJiawei 169dcf3a679STang Haojin for (((old, free), i) <- (old_pdest zip need_free).zipWithIndex) { 170dcf3a679STang Haojin val hasDuplicate = old_pdest.take(i).map(_ === old) 171dcf3a679STang Haojin val blockedByDup = if (i == 0) false.B else VecInit(hasDuplicate).asUInt.orR 172dcf3a679STang Haojin free := VecInit(arch_table.map(_ =/= old)).asUInt.andR && !blockedByDup 173dcf3a679STang Haojin } 174dcf3a679STang Haojin 175dcf3a679STang Haojin io.old_pdest := old_pdest 176dcf3a679STang Haojin io.need_free := need_free 177d197680eSxiaofeibao io.debug_rdata.foreach{ x => reg_t match { 178d197680eSxiaofeibao case Reg_V => x := arch_table.drop(1).take(rdataNums) 179d197680eSxiaofeibao case _ => x := arch_table.take(rdataNums) 180d197680eSxiaofeibao } 181d197680eSxiaofeibao } 182368cbcecSxiaofeibao io.debug_v0.foreach(_ := arch_table(0)) 183368cbcecSxiaofeibao io.debug_vl.foreach(_ := arch_table(0)) 1843691c4dfSfdy if (env.EnableDifftest || env.AlwaysBasicDiff) { 1853691c4dfSfdy val difftest_table = RegInit(rename_table_init) 1863691c4dfSfdy val difftest_table_next = WireDefault(difftest_table) 1873691c4dfSfdy 188cda1c534Sxiaofeibao-xjtu for (w <- io.diffWritePorts.get) { 189a8db15d8Sfdy when(w.wen) { 190a8db15d8Sfdy difftest_table_next(w.addr) := w.data 191a8db15d8Sfdy } 192a8db15d8Sfdy } 193a8db15d8Sfdy difftest_table := difftest_table_next 194a8db15d8Sfdy 195d197680eSxiaofeibao io.diff_rdata.foreach{ x => reg_t match { 196d197680eSxiaofeibao case Reg_V => x := difftest_table.drop(1).take(rdataNums) 197d197680eSxiaofeibao case _ => x := difftest_table.take(rdataNums) 198d197680eSxiaofeibao } 199d197680eSxiaofeibao } 200368cbcecSxiaofeibao io.diff_v0.foreach(_ := difftest_table(0)) 201368cbcecSxiaofeibao io.diff_vl.foreach(_ := difftest_table(0)) 20244dead2fSZhangZifei } 2033691c4dfSfdy else { 204b7d9e8d5Sxiaofeibao-xjtu io.diff_rdata.foreach(_ := 0.U.asTypeOf(io.debug_rdata.get)) 205368cbcecSxiaofeibao io.diff_v0.foreach(_ := 0.U) 206368cbcecSxiaofeibao io.diff_vl.foreach(_ := 0.U) 2073691c4dfSfdy } 2083691c4dfSfdy} 2097fa2c198SYinan Xu 2107fa2c198SYinan Xuclass RenameTableWrapper(implicit p: Parameters) extends XSModule { 211d6f9198fSXuan Hu 212d6f9198fSXuan Hu // params alias 213d6f9198fSXuan Hu private val numVecRegSrc = backendParams.numVecRegSrc 2145718c384SHaojin Tang private val numVecRatPorts = numVecRegSrc 215d6f9198fSXuan Hu 2167fa2c198SYinan Xu val io = IO(new Bundle() { 217ccfddc82SHaojin Tang val redirect = Input(Bool()) 2186b102a39SHaojin Tang val rabCommits = Input(new RabCommitIO) 21963d67ef3STang Haojin val diffCommits = if (backendParams.basicDebugEn) Some(Input(new DiffCommitIO)) else None 220ad5c9e6eSJunxiong Ji val intReadPorts = Vec(RenameWidth, Vec(2, new RatReadPort(IntLogicRegs))) 221ad5c9e6eSJunxiong Ji val intRenamePorts = Vec(RenameWidth, Input(new RatWritePort(IntLogicRegs))) 222ad5c9e6eSJunxiong Ji val fpReadPorts = Vec(RenameWidth, Vec(3, new RatReadPort(FpLogicRegs))) 223ad5c9e6eSJunxiong Ji val fpRenamePorts = Vec(RenameWidth, Input(new RatWritePort(FpLogicRegs))) 224ad5c9e6eSJunxiong Ji val vecReadPorts = Vec(RenameWidth, Vec(numVecRatPorts, new RatReadPort(VecLogicRegs))) 225ad5c9e6eSJunxiong Ji val vecRenamePorts = Vec(RenameWidth, Input(new RatWritePort(VecLogicRegs))) 226ad5c9e6eSJunxiong Ji val v0ReadPorts = Vec(RenameWidth, new RatReadPort(V0LogicRegs)) 227ad5c9e6eSJunxiong Ji val v0RenamePorts = Vec(RenameWidth, Input(new RatWritePort(V0LogicRegs))) 228ad5c9e6eSJunxiong Ji val vlReadPorts = Vec(RenameWidth, new RatReadPort(VlLogicRegs)) 229ad5c9e6eSJunxiong Ji val vlRenamePorts = Vec(RenameWidth, Input(new RatWritePort(VlLogicRegs))) 230c61abc0cSXuan Hu 231780712aaSxiaofeibao-xjtu val int_old_pdest = Vec(RabCommitWidth, Output(UInt(PhyRegIdxWidth.W))) 232780712aaSxiaofeibao-xjtu val fp_old_pdest = Vec(RabCommitWidth, Output(UInt(PhyRegIdxWidth.W))) 233780712aaSxiaofeibao-xjtu val vec_old_pdest = Vec(RabCommitWidth, Output(UInt(PhyRegIdxWidth.W))) 234368cbcecSxiaofeibao val v0_old_pdest = Vec(RabCommitWidth, Output(UInt(PhyRegIdxWidth.W))) 235368cbcecSxiaofeibao val vl_old_pdest = Vec(RabCommitWidth, Output(UInt(PhyRegIdxWidth.W))) 236780712aaSxiaofeibao-xjtu val int_need_free = Vec(RabCommitWidth, Output(Bool())) 237fa7f2c26STang Haojin val snpt = Input(new SnapshotPort) 238c61abc0cSXuan Hu 23963d67ef3STang Haojin // for debug assertions 240b7d9e8d5Sxiaofeibao-xjtu val debug_int_rat = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None 241b7d9e8d5Sxiaofeibao-xjtu val debug_fp_rat = if (backendParams.debugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None 242368cbcecSxiaofeibao val debug_vec_rat = if (backendParams.debugEn) Some(Vec(31, Output(UInt(PhyRegIdxWidth.W)))) else None 243d1e473c9Sxiaofeibao val debug_v0_rat = if (backendParams.debugEn) Some(Vec(1,Output(UInt(PhyRegIdxWidth.W)))) else None 244d1e473c9Sxiaofeibao val debug_vl_rat = if (backendParams.debugEn) Some(Vec(1,Output(UInt(PhyRegIdxWidth.W)))) else None 245a8db15d8Sfdy 24663d67ef3STang Haojin // for difftest 24763d67ef3STang Haojin val diff_int_rat = if (backendParams.basicDebugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None 24863d67ef3STang Haojin val diff_fp_rat = if (backendParams.basicDebugEn) Some(Vec(32, Output(UInt(PhyRegIdxWidth.W)))) else None 24963d67ef3STang Haojin val diff_vec_rat = if (backendParams.basicDebugEn) Some(Vec(31, Output(UInt(PhyRegIdxWidth.W)))) else None 25063d67ef3STang Haojin val diff_v0_rat = if (backendParams.basicDebugEn) Some(Vec(1,Output(UInt(PhyRegIdxWidth.W)))) else None 25163d67ef3STang Haojin val diff_vl_rat = if (backendParams.basicDebugEn) Some(Vec(1,Output(UInt(PhyRegIdxWidth.W)))) else None 2527fa2c198SYinan Xu }) 2537fa2c198SYinan Xu 254a7a8a6ccSHaojin Tang val intRat = Module(new RenameTable(Reg_I)) 255a7a8a6ccSHaojin Tang val fpRat = Module(new RenameTable(Reg_F)) 256a7a8a6ccSHaojin Tang val vecRat = Module(new RenameTable(Reg_V)) 257368cbcecSxiaofeibao val v0Rat = Module(new RenameTable(Reg_V0)) 258368cbcecSxiaofeibao val vlRat = Module(new RenameTable(Reg_Vl)) 2597fa2c198SYinan Xu 260b7d9e8d5Sxiaofeibao-xjtu io.debug_int_rat .foreach(_ := intRat.io.debug_rdata.get) 261b7d9e8d5Sxiaofeibao-xjtu io.diff_int_rat .foreach(_ := intRat.io.diff_rdata.get) 2627fa2c198SYinan Xu intRat.io.readPorts <> io.intReadPorts.flatten 263ccfddc82SHaojin Tang intRat.io.redirect := io.redirect 264fa7f2c26STang Haojin intRat.io.snpt := io.snpt 265dcf3a679STang Haojin io.int_old_pdest := intRat.io.old_pdest 266dcf3a679STang Haojin io.int_need_free := intRat.io.need_free 2676b102a39SHaojin Tang val intDestValid = io.rabCommits.info.map(_.rfWen) 2687fa2c198SYinan Xu for ((arch, i) <- intRat.io.archWritePorts.zipWithIndex) { 2696b102a39SHaojin Tang arch.wen := io.rabCommits.isCommit && io.rabCommits.commitValid(i) && intDestValid(i) 2706b102a39SHaojin Tang arch.addr := io.rabCommits.info(i).ldest 2716b102a39SHaojin Tang arch.data := io.rabCommits.info(i).pdest 272c3abb8b6SYinan Xu XSError(arch.wen && arch.addr === 0.U && arch.data =/= 0.U, "pdest for $0 should be 0\n") 2737fa2c198SYinan Xu } 2747fa2c198SYinan Xu for ((spec, i) <- intRat.io.specWritePorts.zipWithIndex) { 2756b102a39SHaojin Tang spec.wen := io.rabCommits.isWalk && io.rabCommits.walkValid(i) && intDestValid(i) 2766b102a39SHaojin Tang spec.addr := io.rabCommits.info(i).ldest 2776b102a39SHaojin Tang spec.data := io.rabCommits.info(i).pdest 278c3abb8b6SYinan Xu XSError(spec.wen && spec.addr === 0.U && spec.data =/= 0.U, "pdest for $0 should be 0\n") 2797fa2c198SYinan Xu } 2807fa2c198SYinan Xu for ((spec, rename) <- intRat.io.specWritePorts.zip(io.intRenamePorts)) { 2817fa2c198SYinan Xu when (rename.wen) { 2827fa2c198SYinan Xu spec.wen := true.B 2837fa2c198SYinan Xu spec.addr := rename.addr 2847fa2c198SYinan Xu spec.data := rename.data 2857fa2c198SYinan Xu } 2867fa2c198SYinan Xu } 28763d67ef3STang Haojin if (backendParams.basicDebugEn) { 288cda1c534Sxiaofeibao-xjtu for ((diff, i) <- intRat.io.diffWritePorts.get.zipWithIndex) { 289cda1c534Sxiaofeibao-xjtu diff.wen := io.diffCommits.get.isCommit && io.diffCommits.get.commitValid(i) && io.diffCommits.get.info(i).rfWen 290cda1c534Sxiaofeibao-xjtu diff.addr := io.diffCommits.get.info(i).ldest 291cda1c534Sxiaofeibao-xjtu diff.data := io.diffCommits.get.info(i).pdest 292cda1c534Sxiaofeibao-xjtu } 293a8db15d8Sfdy } 2947fa2c198SYinan Xu 2957fa2c198SYinan Xu // debug read ports for difftest 296b7d9e8d5Sxiaofeibao-xjtu io.debug_fp_rat.foreach(_ := fpRat.io.debug_rdata.get) 297b7d9e8d5Sxiaofeibao-xjtu io.diff_fp_rat .foreach(_ := fpRat.io.diff_rdata.get) 2987fa2c198SYinan Xu fpRat.io.readPorts <> io.fpReadPorts.flatten 299deb6421eSHaojin Tang fpRat.io.redirect := io.redirect 300c61abc0cSXuan Hu fpRat.io.snpt := io.snpt 301c61abc0cSXuan Hu io.fp_old_pdest := fpRat.io.old_pdest 302c61abc0cSXuan Hu 3037fa2c198SYinan Xu for ((arch, i) <- fpRat.io.archWritePorts.zipWithIndex) { 3046b102a39SHaojin Tang arch.wen := io.rabCommits.isCommit && io.rabCommits.commitValid(i) && io.rabCommits.info(i).fpWen 3056b102a39SHaojin Tang arch.addr := io.rabCommits.info(i).ldest 3066b102a39SHaojin Tang arch.data := io.rabCommits.info(i).pdest 3077fa2c198SYinan Xu } 3087fa2c198SYinan Xu for ((spec, i) <- fpRat.io.specWritePorts.zipWithIndex) { 3096b102a39SHaojin Tang spec.wen := io.rabCommits.isWalk && io.rabCommits.walkValid(i) && io.rabCommits.info(i).fpWen 3106b102a39SHaojin Tang spec.addr := io.rabCommits.info(i).ldest 3116b102a39SHaojin Tang spec.data := io.rabCommits.info(i).pdest 3127fa2c198SYinan Xu } 3137fa2c198SYinan Xu for ((spec, rename) <- fpRat.io.specWritePorts.zip(io.fpRenamePorts)) { 3147fa2c198SYinan Xu when (rename.wen) { 3157fa2c198SYinan Xu spec.wen := true.B 3167fa2c198SYinan Xu spec.addr := rename.addr 3177fa2c198SYinan Xu spec.data := rename.data 3187fa2c198SYinan Xu } 3197fa2c198SYinan Xu } 32063d67ef3STang Haojin if (backendParams.basicDebugEn) { 321cda1c534Sxiaofeibao-xjtu for ((diff, i) <- fpRat.io.diffWritePorts.get.zipWithIndex) { 322cda1c534Sxiaofeibao-xjtu diff.wen := io.diffCommits.get.isCommit && io.diffCommits.get.commitValid(i) && io.diffCommits.get.info(i).fpWen 323cda1c534Sxiaofeibao-xjtu diff.addr := io.diffCommits.get.info(i).ldest 324cda1c534Sxiaofeibao-xjtu diff.data := io.diffCommits.get.info(i).pdest 325a8db15d8Sfdy } 326cda1c534Sxiaofeibao-xjtu } 327368cbcecSxiaofeibao 328deb6421eSHaojin Tang // debug read ports for difftest 329b7d9e8d5Sxiaofeibao-xjtu io.debug_vec_rat .foreach(_ := vecRat.io.debug_rdata.get) 330b7d9e8d5Sxiaofeibao-xjtu io.diff_vec_rat .foreach(_ := vecRat.io.diff_rdata.get) 331deb6421eSHaojin Tang vecRat.io.readPorts <> io.vecReadPorts.flatten 332deb6421eSHaojin Tang vecRat.io.redirect := io.redirect 333870f462dSXuan Hu vecRat.io.snpt := io.snpt 3343cf50307SZiyue Zhang io.vec_old_pdest := vecRat.io.old_pdest 335870f462dSXuan Hu 33640a70bd6SZhangZifei //TODO: RM the donTouch 3378d081717Sszw_kaixin if(backendParams.debugEn) { 33840a70bd6SZhangZifei dontTouch(vecRat.io) 3398d081717Sszw_kaixin } 340deb6421eSHaojin Tang for ((arch, i) <- vecRat.io.archWritePorts.zipWithIndex) { 3416b102a39SHaojin Tang arch.wen := io.rabCommits.isCommit && io.rabCommits.commitValid(i) && io.rabCommits.info(i).vecWen 3426b102a39SHaojin Tang arch.addr := io.rabCommits.info(i).ldest 3436b102a39SHaojin Tang arch.data := io.rabCommits.info(i).pdest 344deb6421eSHaojin Tang } 345deb6421eSHaojin Tang for ((spec, i) <- vecRat.io.specWritePorts.zipWithIndex) { 3466b102a39SHaojin Tang spec.wen := io.rabCommits.isWalk && io.rabCommits.walkValid(i) && io.rabCommits.info(i).vecWen 3476b102a39SHaojin Tang spec.addr := io.rabCommits.info(i).ldest 3486b102a39SHaojin Tang spec.data := io.rabCommits.info(i).pdest 349deb6421eSHaojin Tang } 350deb6421eSHaojin Tang for ((spec, rename) <- vecRat.io.specWritePorts.zip(io.vecRenamePorts)) { 351deb6421eSHaojin Tang when (rename.wen) { 352deb6421eSHaojin Tang spec.wen := true.B 353deb6421eSHaojin Tang spec.addr := rename.addr 354deb6421eSHaojin Tang spec.data := rename.data 355deb6421eSHaojin Tang } 356deb6421eSHaojin Tang } 35763d67ef3STang Haojin if (backendParams.basicDebugEn) { 358cda1c534Sxiaofeibao-xjtu for ((diff, i) <- vecRat.io.diffWritePorts.get.zipWithIndex) { 359cda1c534Sxiaofeibao-xjtu diff.wen := io.diffCommits.get.isCommit && io.diffCommits.get.commitValid(i) && io.diffCommits.get.info(i).vecWen 360cda1c534Sxiaofeibao-xjtu diff.addr := io.diffCommits.get.info(i).ldest 361cda1c534Sxiaofeibao-xjtu diff.data := io.diffCommits.get.info(i).pdest 362a8db15d8Sfdy } 363cda1c534Sxiaofeibao-xjtu } 364368cbcecSxiaofeibao 365368cbcecSxiaofeibao // debug read ports for difftest 366368cbcecSxiaofeibao io.debug_v0_rat.foreach(_ := v0Rat.io.debug_rdata.get) 367368cbcecSxiaofeibao io.diff_v0_rat.foreach(_ := v0Rat.io.diff_rdata.get) 368368cbcecSxiaofeibao v0Rat.io.readPorts <> io.v0ReadPorts 369368cbcecSxiaofeibao v0Rat.io.redirect := io.redirect 370368cbcecSxiaofeibao v0Rat.io.snpt := io.snpt 371368cbcecSxiaofeibao io.v0_old_pdest := v0Rat.io.old_pdest 372368cbcecSxiaofeibao 373368cbcecSxiaofeibao if (backendParams.debugEn) { 374368cbcecSxiaofeibao dontTouch(v0Rat.io) 375368cbcecSxiaofeibao } 376368cbcecSxiaofeibao for ((arch, i) <- v0Rat.io.archWritePorts.zipWithIndex) { 377368cbcecSxiaofeibao arch.wen := io.rabCommits.isCommit && io.rabCommits.commitValid(i) && io.rabCommits.info(i).v0Wen 378368cbcecSxiaofeibao arch.addr := io.rabCommits.info(i).ldest 379368cbcecSxiaofeibao arch.data := io.rabCommits.info(i).pdest 380368cbcecSxiaofeibao } 381368cbcecSxiaofeibao for ((spec, i) <- v0Rat.io.specWritePorts.zipWithIndex) { 382368cbcecSxiaofeibao spec.wen := io.rabCommits.isWalk && io.rabCommits.walkValid(i) && io.rabCommits.info(i).v0Wen 383368cbcecSxiaofeibao spec.addr := io.rabCommits.info(i).ldest 384368cbcecSxiaofeibao spec.data := io.rabCommits.info(i).pdest 385368cbcecSxiaofeibao } 386368cbcecSxiaofeibao for ((spec, rename) <- v0Rat.io.specWritePorts.zip(io.v0RenamePorts)) { 387368cbcecSxiaofeibao when(rename.wen) { 388368cbcecSxiaofeibao spec.wen := true.B 389368cbcecSxiaofeibao spec.addr := rename.addr 390368cbcecSxiaofeibao spec.data := rename.data 391368cbcecSxiaofeibao } 392368cbcecSxiaofeibao } 39363d67ef3STang Haojin if (backendParams.basicDebugEn) { 394368cbcecSxiaofeibao for ((diff, i) <- v0Rat.io.diffWritePorts.get.zipWithIndex) { 395368cbcecSxiaofeibao diff.wen := io.diffCommits.get.isCommit && io.diffCommits.get.commitValid(i) && io.diffCommits.get.info(i).v0Wen 396368cbcecSxiaofeibao diff.addr := io.diffCommits.get.info(i).ldest 397368cbcecSxiaofeibao diff.data := io.diffCommits.get.info(i).pdest 398368cbcecSxiaofeibao } 399368cbcecSxiaofeibao } 400368cbcecSxiaofeibao 401368cbcecSxiaofeibao // debug read ports for difftest 402368cbcecSxiaofeibao io.debug_vl_rat.foreach(_ := vlRat.io.debug_rdata.get) 403368cbcecSxiaofeibao io.diff_vl_rat.foreach(_ := vlRat.io.diff_rdata.get) 404368cbcecSxiaofeibao vlRat.io.readPorts <> io.vlReadPorts 405368cbcecSxiaofeibao vlRat.io.redirect := io.redirect 406368cbcecSxiaofeibao vlRat.io.snpt := io.snpt 407368cbcecSxiaofeibao io.vl_old_pdest := vlRat.io.old_pdest 408368cbcecSxiaofeibao 409368cbcecSxiaofeibao if (backendParams.debugEn) { 410368cbcecSxiaofeibao dontTouch(vlRat.io) 411368cbcecSxiaofeibao } 412368cbcecSxiaofeibao for ((arch, i) <- vlRat.io.archWritePorts.zipWithIndex) { 413368cbcecSxiaofeibao arch.wen := io.rabCommits.isCommit && io.rabCommits.commitValid(i) && io.rabCommits.info(i).vlWen 414368cbcecSxiaofeibao arch.addr := io.rabCommits.info(i).ldest 415368cbcecSxiaofeibao arch.data := io.rabCommits.info(i).pdest 416368cbcecSxiaofeibao } 417368cbcecSxiaofeibao for ((spec, i) <- vlRat.io.specWritePorts.zipWithIndex) { 418368cbcecSxiaofeibao spec.wen := io.rabCommits.isWalk && io.rabCommits.walkValid(i) && io.rabCommits.info(i).vlWen 419368cbcecSxiaofeibao spec.addr := io.rabCommits.info(i).ldest 420368cbcecSxiaofeibao spec.data := io.rabCommits.info(i).pdest 421368cbcecSxiaofeibao } 422368cbcecSxiaofeibao for ((spec, rename) <- vlRat.io.specWritePorts.zip(io.vlRenamePorts)) { 423368cbcecSxiaofeibao when(rename.wen) { 424368cbcecSxiaofeibao spec.wen := true.B 425368cbcecSxiaofeibao spec.addr := rename.addr 426368cbcecSxiaofeibao spec.data := rename.data 427368cbcecSxiaofeibao } 428368cbcecSxiaofeibao } 42963d67ef3STang Haojin if (backendParams.basicDebugEn) { 430368cbcecSxiaofeibao for ((diff, i) <- vlRat.io.diffWritePorts.get.zipWithIndex) { 431368cbcecSxiaofeibao diff.wen := io.diffCommits.get.isCommit && io.diffCommits.get.commitValid(i) && io.diffCommits.get.info(i).vlWen 432368cbcecSxiaofeibao diff.addr := io.diffCommits.get.info(i).ldest 433368cbcecSxiaofeibao diff.data := io.diffCommits.get.info(i).pdest 434368cbcecSxiaofeibao } 435368cbcecSxiaofeibao } 4367fa2c198SYinan Xu} 437