xref: /XiangShan/src/main/scala/xiangshan/backend/rename/Rename.scala (revision 26af847e669bb208507278eafc6ebe52f03b0d19)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.backend.rename
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import utility._
23import utils._
24import xiangshan._
25import xiangshan.backend.Bundles.{DecodedInst, DynInst}
26import xiangshan.backend.decode.{FusionDecodeInfo, ImmUnion, Imm_I, Imm_LUI_LOAD, Imm_U}
27import xiangshan.backend.fu.FuType
28import xiangshan.backend.rename.freelist._
29import xiangshan.backend.rob.{RobEnqIO, RobPtr}
30import xiangshan.mem.mdp._
31
32class Rename(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper with HasPerfEvents {
33
34  // params alias
35  private val numRegSrc = backendParams.numRegSrc
36  private val numVecRegSrc = backendParams.numVecRegSrc
37  private val numVecRatPorts = numVecRegSrc + 1 // +1 dst
38
39  println(s"[Rename] numRegSrc: $numRegSrc")
40
41  val io = IO(new Bundle() {
42    val redirect = Flipped(ValidIO(new Redirect))
43    val rabCommits = Input(new RabCommitIO)
44    // from decode
45    val in = Vec(RenameWidth, Flipped(DecoupledIO(new DecodedInst)))
46    val fusionInfo = Vec(DecodeWidth - 1, Flipped(new FusionDecodeInfo))
47    // ssit read result
48    val ssit = Flipped(Vec(RenameWidth, Output(new SSITEntry)))
49    // waittable read result
50    val waittable = Flipped(Vec(RenameWidth, Output(Bool())))
51    // to rename table
52    val intReadPorts = Vec(RenameWidth, Vec(3, Input(UInt(PhyRegIdxWidth.W))))
53    val fpReadPorts = Vec(RenameWidth, Vec(4, Input(UInt(PhyRegIdxWidth.W))))
54    val vecReadPorts = Vec(RenameWidth, Vec(numVecRatPorts, Input(UInt(PhyRegIdxWidth.W))))
55    val intRenamePorts = Vec(RenameWidth, Output(new RatWritePort))
56    val fpRenamePorts = Vec(RenameWidth, Output(new RatWritePort))
57    val vecRenamePorts = Vec(RenameWidth, Output(new RatWritePort))
58    // from rename table
59    val int_old_pdest = Vec(CommitWidth, Input(UInt(PhyRegIdxWidth.W)))
60    val fp_old_pdest = Vec(CommitWidth, Input(UInt(PhyRegIdxWidth.W)))
61    val vec_old_pdest = Vec(CommitWidth, Input(UInt(PhyRegIdxWidth.W)))
62    val int_need_free = Vec(CommitWidth, Input(Bool()))
63    // to dispatch1
64    val out = Vec(RenameWidth, DecoupledIO(new DynInst))
65    // for snapshots
66    val snpt = Input(new SnapshotPort)
67    val snptLastEnq = Flipped(ValidIO(new RobPtr))
68    // debug arch ports
69    val debug_int_rat = if (backendParams.debugEn) Some(Vec(32, Input(UInt(PhyRegIdxWidth.W)))) else None
70    val debug_vconfig_rat = if (backendParams.debugEn) Some(Input(UInt(PhyRegIdxWidth.W))) else None
71    val debug_fp_rat = if (backendParams.debugEn) Some(Vec(32, Input(UInt(PhyRegIdxWidth.W)))) else None
72    val debug_vec_rat = if (backendParams.debugEn) Some(Vec(32, Input(UInt(PhyRegIdxWidth.W)))) else None
73    // perf only
74    val stallReason = new Bundle {
75      val in = Flipped(new StallReasonIO(RenameWidth))
76      val out = new StallReasonIO(RenameWidth)
77    }
78  })
79
80  val compressUnit = Module(new CompressUnit())
81  // create free list and rat
82  val intFreeList = Module(new MEFreeList(IntPhyRegs))
83  val fpFreeList = Module(new StdFreeList(VfPhyRegs - FpLogicRegs - VecLogicRegs))
84
85  intFreeList.io.commit    <> io.rabCommits
86  intFreeList.io.debug_rat.foreach(_ <> io.debug_int_rat.get)
87  fpFreeList.io.commit     <> io.rabCommits
88  fpFreeList.io.debug_rat.foreach(_ <> io.debug_fp_rat.get)
89
90  // decide if given instruction needs allocating a new physical register (CfCtrl: from decode; RobCommitInfo: from rob)
91  // fp and vec share `fpFreeList`
92  def needDestReg[T <: DecodedInst](reg_t: RegType, x: T): Bool = reg_t match {
93    case Reg_I => x.rfWen && x.ldest =/= 0.U
94    case Reg_F => x.fpWen
95    case Reg_V => x.vecWen
96  }
97  def needDestRegCommit[T <: RabCommitInfo](reg_t: RegType, x: T): Bool = {
98    reg_t match {
99      case Reg_I => x.rfWen
100      case Reg_F => x.fpWen
101      case Reg_V => x.vecWen
102    }
103  }
104  def needDestRegWalk[T <: RabCommitInfo](reg_t: RegType, x: T): Bool = {
105    reg_t match {
106      case Reg_I => x.rfWen && x.ldest =/= 0.U
107      case Reg_F => x.fpWen
108      case Reg_V => x.vecWen
109    }
110  }
111
112  // connect [redirect + walk] ports for __float point__ & __integer__ free list
113  Seq(fpFreeList, intFreeList).foreach { case fl =>
114    fl.io.redirect := io.redirect.valid
115    fl.io.walk := io.rabCommits.isWalk
116  }
117  // only when both fp and int free list and dispatch1 has enough space can we do allocation
118  // when isWalk, freelist can definitely allocate
119  intFreeList.io.doAllocate := fpFreeList.io.canAllocate && io.out(0).ready || io.rabCommits.isWalk
120  fpFreeList.io.doAllocate := intFreeList.io.canAllocate && io.out(0).ready || io.rabCommits.isWalk
121
122  //           dispatch1 ready ++ float point free list ready ++ int free list ready      ++ not walk
123  val canOut = io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.rabCommits.isWalk
124
125  compressUnit.io.in.zip(io.in).foreach{ case(sink, source) =>
126    sink.valid := source.valid
127    sink.bits := source.bits
128  }
129  val needRobFlags = compressUnit.io.out.needRobFlags
130  val instrSizesVec = compressUnit.io.out.instrSizes
131  val compressMasksVec = compressUnit.io.out.masks
132
133  // speculatively assign the instruction with an robIdx
134  val validCount = PopCount(io.in.zip(needRobFlags).map{ case(in, needRobFlag) => in.valid && in.bits.lastUop && needRobFlag}) // number of instructions waiting to enter rob (from decode)
135  val robIdxHead = RegInit(0.U.asTypeOf(new RobPtr))
136  val lastCycleMisprediction = GatedValidRegNext(io.redirect.valid && !io.redirect.bits.flushItself())
137  val robIdxHeadNext = Mux(io.redirect.valid, io.redirect.bits.robIdx, // redirect: move ptr to given rob index
138         Mux(lastCycleMisprediction, robIdxHead + 1.U, // mis-predict: not flush robIdx itself
139           Mux(canOut, robIdxHead + validCount, // instructions successfully entered next stage: increase robIdx
140                      /* default */  robIdxHead))) // no instructions passed by this cycle: stick to old value
141  robIdxHead := robIdxHeadNext
142
143  /**
144    * Rename: allocate free physical register and update rename table
145    */
146  val uops = Wire(Vec(RenameWidth, new DynInst))
147  uops.foreach( uop => {
148    uop.srcState      := DontCare
149    uop.debugInfo     := DontCare
150    uop.lqIdx         := DontCare
151    uop.sqIdx         := DontCare
152    uop.waitForRobIdx := DontCare
153    uop.singleStep    := DontCare
154    uop.snapshot      := DontCare
155    uop.srcLoadDependency := DontCare
156    uop.numLsElem       :=  DontCare
157  })
158
159  require(RenameWidth >= CommitWidth)
160  val needVecDest    = Wire(Vec(RenameWidth, Bool()))
161  val needFpDest     = Wire(Vec(RenameWidth, Bool()))
162  val needIntDest    = Wire(Vec(RenameWidth, Bool()))
163  val hasValid = Cat(io.in.map(_.valid)).orR
164  private val inHeadValid = io.in.head.valid
165
166  val isMove = Wire(Vec(RenameWidth, Bool()))
167  isMove zip io.in.map(_.bits) foreach {
168    case (move, in) => move := Mux(in.exceptionVec.asUInt.orR, false.B, in.isMove)
169  }
170
171  val walkNeedIntDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B)))
172  val walkNeedFpDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B)))
173  val walkNeedVecDest = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B)))
174  val walkIsMove = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B)))
175
176  val intSpecWen = Wire(Vec(RenameWidth, Bool()))
177  val fpSpecWen  = Wire(Vec(RenameWidth, Bool()))
178  val vecSpecWen = Wire(Vec(RenameWidth, Bool()))
179
180  val walkIntSpecWen = WireDefault(VecInit(Seq.fill(RenameWidth)(false.B)))
181
182  val walkPdest = Wire(Vec(RenameWidth, UInt(PhyRegIdxWidth.W)))
183
184  // uop calculation
185  for (i <- 0 until RenameWidth) {
186    (uops(i): Data).waiveAll :<= (io.in(i).bits: Data).waiveAll
187
188    // update cf according to ssit result
189    uops(i).storeSetHit := io.ssit(i).valid
190    uops(i).loadWaitStrict := io.ssit(i).strict && io.ssit(i).valid
191    uops(i).ssid := io.ssit(i).ssid
192
193    // update cf according to waittable result
194    uops(i).loadWaitBit := io.waittable(i)
195
196    uops(i).replayInst := false.B // set by IQ or MemQ
197    // alloc a new phy reg, fp and vec share the `fpFreeList`
198    needVecDest(i) := io.in(i).valid && needDestReg(Reg_V, io.in(i).bits)
199    needFpDest(i) := io.in(i).valid && needDestReg(Reg_F, io.in(i).bits)
200    needIntDest(i) := io.in(i).valid && needDestReg(Reg_I, io.in(i).bits)
201    if (i < CommitWidth) {
202      walkNeedIntDest(i) := io.rabCommits.walkValid(i) && needDestRegWalk(Reg_I, io.rabCommits.info(i))
203      walkNeedFpDest(i) := io.rabCommits.walkValid(i) && needDestRegWalk(Reg_F, io.rabCommits.info(i))
204      walkNeedVecDest(i) := io.rabCommits.walkValid(i) && needDestRegWalk(Reg_V, io.rabCommits.info(i))
205      walkIsMove(i) := io.rabCommits.info(i).isMove
206    }
207    fpFreeList.io.allocateReq(i) := needFpDest(i) || needVecDest(i)
208    fpFreeList.io.walkReq(i) := walkNeedFpDest(i) || walkNeedVecDest(i)
209    intFreeList.io.allocateReq(i) := needIntDest(i) && !isMove(i)
210    intFreeList.io.walkReq(i) := walkNeedIntDest(i) && !walkIsMove(i)
211
212    // no valid instruction from decode stage || all resources (dispatch1 + both free lists) ready
213    io.in(i).ready := !hasValid || canOut
214
215    uops(i).robIdx := robIdxHead + PopCount(io.in.zip(needRobFlags).take(i).map{ case(in, needRobFlag) => in.valid && in.bits.lastUop && needRobFlag})
216    uops(i).instrSize := instrSizesVec(i)
217    when(isMove(i)) {
218      uops(i).numUops := 0.U
219      uops(i).numWB := 0.U
220    }
221    if (i > 0) {
222      when(!needRobFlags(i - 1)) {
223        uops(i).firstUop := false.B
224        uops(i).ftqPtr := uops(i - 1).ftqPtr
225        uops(i).ftqOffset := uops(i - 1).ftqOffset
226        uops(i).numUops := instrSizesVec(i) - PopCount(compressMasksVec(i) & Cat(isMove.reverse))
227        uops(i).numWB := instrSizesVec(i) - PopCount(compressMasksVec(i) & Cat(isMove.reverse))
228      }
229    }
230    when(!needRobFlags(i)) {
231      uops(i).lastUop := false.B
232      uops(i).numUops := instrSizesVec(i) - PopCount(compressMasksVec(i) & Cat(isMove.reverse))
233      uops(i).numWB := instrSizesVec(i) - PopCount(compressMasksVec(i) & Cat(isMove.reverse))
234    }
235    uops(i).wfflags := (compressMasksVec(i) & Cat(io.in.map(_.bits.wfflags).reverse)).orR
236    uops(i).dirtyFs := (compressMasksVec(i) & Cat(io.in.map(_.bits.fpWen).reverse)).orR
237
238    uops(i).psrc(0) := Mux1H(uops(i).srcType(0), Seq(io.intReadPorts(i)(0), io.fpReadPorts(i)(0), io.vecReadPorts(i)(0)))
239    uops(i).psrc(1) := Mux1H(uops(i).srcType(1), Seq(io.intReadPorts(i)(1), io.fpReadPorts(i)(1), io.vecReadPorts(i)(1)))
240    uops(i).psrc(2) := Mux1H(uops(i).srcType(2)(2, 1), Seq(io.fpReadPorts(i)(2), io.vecReadPorts(i)(2)))
241    uops(i).psrc(3) := io.vecReadPorts(i)(3)
242    uops(i).psrc(4) := io.vecReadPorts(i)(4) // Todo: vl read port
243
244    // int psrc2 should be bypassed from next instruction if it is fused
245    if (i < RenameWidth - 1) {
246      when (io.fusionInfo(i).rs2FromRs2 || io.fusionInfo(i).rs2FromRs1) {
247        uops(i).psrc(1) := Mux(io.fusionInfo(i).rs2FromRs2, io.intReadPorts(i + 1)(1), io.intReadPorts(i + 1)(0))
248      }.elsewhen(io.fusionInfo(i).rs2FromZero) {
249        uops(i).psrc(1) := 0.U
250      }
251    }
252    uops(i).eliminatedMove := isMove(i)
253
254    // update pdest
255    uops(i).pdest := MuxCase(0.U, Seq(
256      needIntDest(i)                    -> intFreeList.io.allocatePhyReg(i),
257      (needFpDest(i) || needVecDest(i)) -> fpFreeList.io.allocatePhyReg(i),
258    ))
259
260    // Assign performance counters
261    uops(i).debugInfo.renameTime := GTimer()
262
263    io.out(i).valid := io.in(i).valid && intFreeList.io.canAllocate && fpFreeList.io.canAllocate && !io.rabCommits.isWalk
264    io.out(i).bits := uops(i)
265    // Todo: move these shit in decode stage
266    // dirty code for fence. The lsrc is passed by imm.
267    when (io.out(i).bits.fuType === FuType.fence.U) {
268      io.out(i).bits.imm := Cat(io.in(i).bits.lsrc(1), io.in(i).bits.lsrc(0))
269    }
270
271    // dirty code for SoftPrefetch (prefetch.r/prefetch.w)
272//    when (io.in(i).bits.isSoftPrefetch) {
273//      io.out(i).bits.fuType := FuType.ldu.U
274//      io.out(i).bits.fuOpType := Mux(io.in(i).bits.lsrc(1) === 1.U, LSUOpType.prefetch_r, LSUOpType.prefetch_w)
275//      io.out(i).bits.selImm := SelImm.IMM_S
276//      io.out(i).bits.imm := Cat(io.in(i).bits.imm(io.in(i).bits.imm.getWidth - 1, 5), 0.U(5.W))
277//    }
278
279    // dirty code for lui+addi(w) fusion
280    if (i < RenameWidth - 1) {
281      val fused_lui32 = io.in(i).bits.selImm === SelImm.IMM_LUI32 && io.in(i).bits.fuType === FuType.alu.U
282      when (fused_lui32) {
283        val lui_imm = io.in(i).bits.imm(19, 0)
284        val add_imm = io.in(i + 1).bits.imm(11, 0)
285        io.out(i).bits.imm := Imm_LUI_LOAD().immFromLuiLoad(lui_imm, add_imm)
286        val lsrcWidth = uops(i).lsrc.head.getWidth
287        val lui_imm_in_imm = ImmUnion.maxLen - Imm_I().len
288        val left_lui_imm = Imm_U().len - lui_imm_in_imm
289        require(2 * lsrcWidth >= left_lui_imm, "cannot fused lui and addi(w) with lsrc")
290        io.out(i).bits.lsrc(0) := lui_imm(lui_imm_in_imm + lsrcWidth - 1, lui_imm_in_imm)
291        io.out(i).bits.lsrc(1) := lui_imm(lui_imm.getWidth - 1, lui_imm_in_imm + lsrcWidth)
292      }
293    }
294
295    // write speculative rename table
296    // we update rat later inside commit code
297    intSpecWen(i) := needIntDest(i) && intFreeList.io.canAllocate && intFreeList.io.doAllocate && !io.rabCommits.isWalk && !io.redirect.valid
298    fpSpecWen(i) := needFpDest(i) && fpFreeList.io.canAllocate && fpFreeList.io.doAllocate && !io.rabCommits.isWalk && !io.redirect.valid
299    vecSpecWen(i) := needVecDest(i) && fpFreeList.io.canAllocate && fpFreeList.io.doAllocate && !io.rabCommits.isWalk && !io.redirect.valid
300
301
302    if (i < CommitWidth) {
303      walkIntSpecWen(i) := walkNeedIntDest(i) && !io.redirect.valid
304      walkPdest(i) := io.rabCommits.info(i).pdest
305    } else {
306      walkPdest(i) := io.out(i).bits.pdest
307    }
308  }
309
310  /**
311    * How to set psrc:
312    * - bypass the pdest to psrc if previous instructions write to the same ldest as lsrc
313    * - default: psrc from RAT
314    * How to set pdest:
315    * - Mux(isMove, psrc, pdest_from_freelist).
316    *
317    * The critical path of rename lies here:
318    * When move elimination is enabled, we need to update the rat with psrc.
319    * However, psrc maybe comes from previous instructions' pdest, which comes from freelist.
320    *
321    * If we expand these logic for pdest(N):
322    * pdest(N) = Mux(isMove(N), psrc(N), freelist_out(N))
323    *          = Mux(isMove(N), Mux(bypass(N, N - 1), pdest(N - 1),
324    *                           Mux(bypass(N, N - 2), pdest(N - 2),
325    *                           ...
326    *                           Mux(bypass(N, 0),     pdest(0),
327    *                                                 rat_out(N))...)),
328    *                           freelist_out(N))
329    */
330  // a simple functional model for now
331  io.out(0).bits.pdest := Mux(isMove(0), uops(0).psrc.head, uops(0).pdest)
332
333  // psrc(n) + pdest(1)
334  val bypassCond: Vec[MixedVec[UInt]] = Wire(Vec(numRegSrc + 1, MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W)))))
335  require(io.in(0).bits.srcType.size == io.in(0).bits.numSrc)
336  private val pdestLoc = io.in.head.bits.srcType.size // 2 vector src: v0, vl&vtype
337  println(s"[Rename] idx of pdest in bypassCond $pdestLoc")
338  for (i <- 1 until RenameWidth) {
339    val vecCond = io.in(i).bits.srcType.map(_ === SrcType.vp) :+ needVecDest(i)
340    val fpCond  = io.in(i).bits.srcType.map(_ === SrcType.fp) :+ needFpDest(i)
341    val intCond = io.in(i).bits.srcType.map(_ === SrcType.xp) :+ needIntDest(i)
342    val target = io.in(i).bits.lsrc :+ io.in(i).bits.ldest
343    for (((((cond1, cond2), cond3), t), j) <- vecCond.zip(fpCond).zip(intCond).zip(target).zipWithIndex) {
344      val destToSrc = io.in.take(i).zipWithIndex.map { case (in, j) =>
345        val indexMatch = in.bits.ldest === t
346        val writeMatch =  cond3 && needIntDest(j) || cond2 && needFpDest(j) || cond1 && needVecDest(j)
347        indexMatch && writeMatch
348      }
349      bypassCond(j)(i - 1) := VecInit(destToSrc).asUInt
350    }
351    io.out(i).bits.psrc(0) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(0)(i-1).asBools).foldLeft(uops(i).psrc(0)) {
352      (z, next) => Mux(next._2, next._1, z)
353    }
354    io.out(i).bits.psrc(1) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(1)(i-1).asBools).foldLeft(uops(i).psrc(1)) {
355      (z, next) => Mux(next._2, next._1, z)
356    }
357    io.out(i).bits.psrc(2) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(2)(i-1).asBools).foldLeft(uops(i).psrc(2)) {
358      (z, next) => Mux(next._2, next._1, z)
359    }
360    io.out(i).bits.psrc(3) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(3)(i-1).asBools).foldLeft(uops(i).psrc(3)) {
361      (z, next) => Mux(next._2, next._1, z)
362    }
363    io.out(i).bits.psrc(4) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(4)(i-1).asBools).foldLeft(uops(i).psrc(4)) {
364      (z, next) => Mux(next._2, next._1, z)
365    }
366    io.out(i).bits.pdest := Mux(isMove(i), io.out(i).bits.psrc(0), uops(i).pdest)
367
368    // Todo: better implementation for fields reuse
369    // For fused-lui-load, load.src(0) is replaced by the imm.
370    val last_is_lui = io.in(i - 1).bits.selImm === SelImm.IMM_U && io.in(i - 1).bits.srcType(0) =/= SrcType.pc
371    val this_is_load = io.in(i).bits.fuType === FuType.ldu.U
372    val lui_to_load = io.in(i - 1).valid && io.in(i - 1).bits.ldest === io.in(i).bits.lsrc(0)
373    val fused_lui_load = last_is_lui && this_is_load && lui_to_load
374    when (fused_lui_load) {
375      // The first LOAD operand (base address) is replaced by LUI-imm and stored in {psrc, imm}
376      val lui_imm = io.in(i - 1).bits.imm(19, 0)
377      val ld_imm = io.in(i).bits.imm
378      io.out(i).bits.srcType(0) := SrcType.imm
379      io.out(i).bits.imm := Imm_LUI_LOAD().immFromLuiLoad(lui_imm, ld_imm)
380      val psrcWidth = uops(i).psrc.head.getWidth
381      val lui_imm_in_imm = 20/*Todo: uops(i).imm.getWidth*/ - Imm_I().len
382      val left_lui_imm = Imm_U().len - lui_imm_in_imm
383      require(2 * psrcWidth >= left_lui_imm, "cannot fused lui and load with psrc")
384      io.out(i).bits.psrc(0) := lui_imm(lui_imm_in_imm + psrcWidth - 1, lui_imm_in_imm)
385      io.out(i).bits.psrc(1) := lui_imm(lui_imm.getWidth - 1, lui_imm_in_imm + psrcWidth)
386    }
387
388  }
389
390  val genSnapshot = Cat(io.out.map(out => out.fire && out.bits.snapshot)).orR
391  val snapshotCtr = RegInit((4 * CommitWidth).U)
392  val notInSameSnpt = GatedValidRegNext(distanceBetween(robIdxHeadNext, io.snptLastEnq.bits) >= CommitWidth.U || !io.snptLastEnq.valid)
393  val allowSnpt = if (EnableRenameSnapshot) !snapshotCtr.orR && notInSameSnpt && io.in.head.bits.firstUop else false.B
394  io.out.zip(io.in).foreach{ case (out, in) => out.bits.snapshot := allowSnpt && (!in.bits.preDecodeInfo.notCFI || FuType.isJump(in.bits.fuType)) && in.fire }
395  when(genSnapshot) {
396    snapshotCtr := (4 * CommitWidth).U - PopCount(io.out.map(_.fire))
397  }.elsewhen(io.out.head.fire) {
398    snapshotCtr := Mux(snapshotCtr < PopCount(io.out.map(_.fire)), 0.U, snapshotCtr - PopCount(io.out.map(_.fire)))
399  }
400
401  intFreeList.io.snpt := io.snpt
402  fpFreeList.io.snpt := io.snpt
403  intFreeList.io.snpt.snptEnq := genSnapshot
404  fpFreeList.io.snpt.snptEnq := genSnapshot
405
406  /**
407    * Instructions commit: update freelist and rename table
408    */
409  for (i <- 0 until CommitWidth) {
410    val commitValid = io.rabCommits.isCommit && io.rabCommits.commitValid(i)
411    val walkValid = io.rabCommits.isWalk && io.rabCommits.walkValid(i)
412
413    // I. RAT Update
414    // When redirect happens (mis-prediction), don't update the rename table
415    io.intRenamePorts(i).wen  := intSpecWen(i)
416    io.intRenamePorts(i).addr := uops(i).ldest
417    io.intRenamePorts(i).data := io.out(i).bits.pdest
418
419    io.fpRenamePorts(i).wen  := fpSpecWen(i)
420    io.fpRenamePorts(i).addr := uops(i).ldest
421    io.fpRenamePorts(i).data := fpFreeList.io.allocatePhyReg(i)
422
423    io.vecRenamePorts(i).wen := vecSpecWen(i)
424    io.vecRenamePorts(i).addr := uops(i).ldest
425    io.vecRenamePorts(i).data := fpFreeList.io.allocatePhyReg(i)
426
427    // II. Free List Update
428    intFreeList.io.freeReq(i) := io.int_need_free(i)
429    intFreeList.io.freePhyReg(i) := RegNext(io.int_old_pdest(i))
430    fpFreeList.io.freeReq(i)  := GatedValidRegNext(commitValid && (needDestRegCommit(Reg_F, io.rabCommits.info(i)) || needDestRegCommit(Reg_V, io.rabCommits.info(i))))
431    fpFreeList.io.freePhyReg(i) := Mux(RegNext(needDestRegCommit(Reg_F, io.rabCommits.info(i))), io.fp_old_pdest(i), io.vec_old_pdest(i))
432  }
433
434  /*
435  Debug and performance counters
436   */
437  def printRenameInfo(in: DecoupledIO[DecodedInst], out: DecoupledIO[DynInst]) = {
438    XSInfo(out.fire, p"pc:${Hexadecimal(in.bits.pc)} in(${in.valid},${in.ready}) " +
439      p"lsrc(0):${in.bits.lsrc(0)} -> psrc(0):${out.bits.psrc(0)} " +
440      p"lsrc(1):${in.bits.lsrc(1)} -> psrc(1):${out.bits.psrc(1)} " +
441      p"lsrc(2):${in.bits.lsrc(2)} -> psrc(2):${out.bits.psrc(2)} " +
442      p"ldest:${in.bits.ldest} -> pdest:${out.bits.pdest}\n"
443    )
444  }
445
446  for ((x,y) <- io.in.zip(io.out)) {
447    printRenameInfo(x, y)
448  }
449
450  val debugRedirect = RegEnable(io.redirect.bits, io.redirect.valid)
451  // bad speculation
452  val recStall = io.redirect.valid || io.rabCommits.isWalk
453  val ctrlRecStall = Mux(io.redirect.valid, io.redirect.bits.debugIsCtrl, io.rabCommits.isWalk && debugRedirect.debugIsCtrl)
454  val mvioRecStall = Mux(io.redirect.valid, io.redirect.bits.debugIsMemVio, io.rabCommits.isWalk && debugRedirect.debugIsMemVio)
455  val otherRecStall = recStall && !(ctrlRecStall || mvioRecStall)
456  XSPerfAccumulate("recovery_stall", recStall)
457  XSPerfAccumulate("control_recovery_stall", ctrlRecStall)
458  XSPerfAccumulate("mem_violation_recovery_stall", mvioRecStall)
459  XSPerfAccumulate("other_recovery_stall", otherRecStall)
460  // freelist stall
461  val notRecStall = !io.out.head.valid && !recStall
462  val intFlStall = notRecStall && inHeadValid && !intFreeList.io.canAllocate
463  val fpFlStall = notRecStall && inHeadValid && intFreeList.io.canAllocate && !fpFreeList.io.canAllocate
464  // other stall
465  val otherStall = notRecStall && !intFlStall && !fpFlStall
466
467  io.stallReason.in.backReason.valid := io.stallReason.out.backReason.valid || !io.in.head.ready
468  io.stallReason.in.backReason.bits := Mux(io.stallReason.out.backReason.valid, io.stallReason.out.backReason.bits,
469    MuxCase(TopDownCounters.OtherCoreStall.id.U, Seq(
470      ctrlRecStall  -> TopDownCounters.ControlRecoveryStall.id.U,
471      mvioRecStall  -> TopDownCounters.MemVioRecoveryStall.id.U,
472      otherRecStall -> TopDownCounters.OtherRecoveryStall.id.U,
473      intFlStall    -> TopDownCounters.IntFlStall.id.U,
474      fpFlStall     -> TopDownCounters.FpFlStall.id.U
475    )
476  ))
477  io.stallReason.out.reason.zip(io.stallReason.in.reason).zip(io.in.map(_.valid)).foreach { case ((out, in), valid) =>
478    out := Mux(io.stallReason.in.backReason.valid, io.stallReason.in.backReason.bits, in)
479  }
480
481  XSDebug(io.rabCommits.isWalk, p"Walk Recovery Enabled\n")
482  XSDebug(io.rabCommits.isWalk, p"validVec:${Binary(io.rabCommits.walkValid.asUInt)}\n")
483  for (i <- 0 until CommitWidth) {
484    val info = io.rabCommits.info(i)
485    XSDebug(io.rabCommits.isWalk && io.rabCommits.walkValid(i), p"[#$i walk info] " +
486      p"ldest:${info.ldest} rfWen:${info.rfWen} fpWen:${info.fpWen} vecWen:${info.vecWen}")
487  }
488
489  XSDebug(p"inValidVec: ${Binary(Cat(io.in.map(_.valid)))}\n")
490
491  XSPerfAccumulate("in_valid_count", PopCount(io.in.map(_.valid)))
492  XSPerfAccumulate("in_fire_count", PopCount(io.in.map(_.fire)))
493  XSPerfAccumulate("in_valid_not_ready_count", PopCount(io.in.map(x => x.valid && !x.ready)))
494  XSPerfAccumulate("wait_cycle", !io.in.head.valid && io.out.head.ready)
495
496  // These stall reasons could overlap each other, but we configure the priority as fellows.
497  // walk stall > dispatch stall > int freelist stall > fp freelist stall
498  private val inHeadStall = io.in.head match { case x => x.valid && !x.ready }
499  private val stallForWalk      = inHeadValid &&  io.rabCommits.isWalk
500  private val stallForDispatch  = inHeadValid && !io.rabCommits.isWalk && !io.out(0).ready
501  private val stallForIntFL     = inHeadValid && !io.rabCommits.isWalk &&  io.out(0).ready && !intFreeList.io.canAllocate
502  private val stallForFpFL      = inHeadValid && !io.rabCommits.isWalk &&  io.out(0).ready &&  intFreeList.io.canAllocate && !fpFreeList.io.canAllocate
503  XSPerfAccumulate("stall_cycle",          inHeadStall)
504  XSPerfAccumulate("stall_cycle_walk",     stallForWalk)
505  XSPerfAccumulate("stall_cycle_dispatch", stallForDispatch)
506  XSPerfAccumulate("stall_cycle_int",      stallForIntFL)
507  XSPerfAccumulate("stall_cycle_fp",       stallForFpFL)
508
509  XSPerfHistogram("in_valid_range",  PopCount(io.in.map(_.valid)),  true.B, 0, DecodeWidth + 1, 1)
510  XSPerfHistogram("in_fire_range",   PopCount(io.in.map(_.fire)),   true.B, 0, DecodeWidth + 1, 1)
511  XSPerfHistogram("out_valid_range", PopCount(io.out.map(_.valid)), true.B, 0, DecodeWidth + 1, 1)
512  XSPerfHistogram("out_fire_range",  PopCount(io.out.map(_.fire)),  true.B, 0, DecodeWidth + 1, 1)
513
514  XSPerfAccumulate("move_instr_count", PopCount(io.out.map(out => out.fire && out.bits.isMove)))
515  val is_fused_lui_load = io.out.map(o => o.fire && o.bits.fuType === FuType.ldu.U && o.bits.srcType(0) === SrcType.imm)
516  XSPerfAccumulate("fused_lui_load_instr_count", PopCount(is_fused_lui_load))
517
518  val renamePerf = Seq(
519    ("rename_in                  ", PopCount(io.in.map(_.valid & io.in(0).ready ))                                                               ),
520    ("rename_waitinstr           ", PopCount((0 until RenameWidth).map(i => io.in(i).valid && !io.in(i).ready))                                  ),
521    ("rename_stall               ", inHeadStall),
522    ("rename_stall_cycle_walk    ", inHeadValid &&  io.rabCommits.isWalk),
523    ("rename_stall_cycle_dispatch", inHeadValid && !io.rabCommits.isWalk && !io.out(0).ready),
524    ("rename_stall_cycle_int     ", inHeadValid && !io.rabCommits.isWalk &&  io.out(0).ready && !intFreeList.io.canAllocate),
525    ("rename_stall_cycle_fp      ", inHeadValid && !io.rabCommits.isWalk &&  io.out(0).ready && intFreeList.io.canAllocate && !fpFreeList.io.canAllocate),
526  )
527  val intFlPerf = intFreeList.getPerfEvents
528  val fpFlPerf = fpFreeList.getPerfEvents
529  val perfEvents = renamePerf ++ intFlPerf ++ fpFlPerf
530  generatePerfEvent()
531}
532