1c6d43980SLemover/*************************************************************************************** 2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory 4c6d43980SLemover* 5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2. 6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2. 7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at: 8c6d43980SLemover* http://license.coscl.org.cn/MulanPSL2 9c6d43980SLemover* 10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 13c6d43980SLemover* 14c6d43980SLemover* See the Mulan PSL v2 for more details. 15c6d43980SLemover***************************************************************************************/ 16c6d43980SLemover 175844fcf0SLinJiaweipackage xiangshan.backend.rename 185844fcf0SLinJiawei 192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 205844fcf0SLinJiaweiimport chisel3._ 215844fcf0SLinJiaweiimport chisel3.util._ 225844fcf0SLinJiaweiimport xiangshan._ 237cef916fSYinan Xuimport utils._ 249aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr 25049559e7SYinan Xuimport xiangshan.backend.dispatch.PreDispatchInfo 2670224bf6SYinan Xuimport xiangshan.backend.rename.freelist._ 2799b8dc2cSYinan Xu 2839d3280eSYikeZhouclass Rename(implicit p: Parameters) extends XSModule { 295844fcf0SLinJiawei val io = IO(new Bundle() { 305844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 319aca92b9SYinan Xu val robCommits = Flipped(new RobCommitIO) 327fa2c198SYinan Xu // from decode 339a2e6b8aSLinJiawei val in = Vec(RenameWidth, Flipped(DecoupledIO(new CfCtrl))) 347fa2c198SYinan Xu // to rename table 357fa2c198SYinan Xu val intReadPorts = Vec(RenameWidth, Vec(3, Input(UInt(PhyRegIdxWidth.W)))) 367fa2c198SYinan Xu val fpReadPorts = Vec(RenameWidth, Vec(4, Input(UInt(PhyRegIdxWidth.W)))) 377fa2c198SYinan Xu val intRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 387fa2c198SYinan Xu val fpRenamePorts = Vec(RenameWidth, Output(new RatWritePort)) 3957c4f8d6SLinJiawei // to dispatch1 409a2e6b8aSLinJiawei val out = Vec(RenameWidth, DecoupledIO(new MicroOp)) 41049559e7SYinan Xu val dispatchInfo = Output(new PreDispatchInfo) 425844fcf0SLinJiawei }) 43b034d3b9SLinJiawei 448b8e745dSYikeZhou // create free list and rat 4570224bf6SYinan Xu val intFreeList = Module(new MEFreeList(MEFreeListSize)) 4670224bf6SYinan Xu val intRefCounter = Module(new RefCounter(MEFreeListSize)) 4770224bf6SYinan Xu val fpFreeList = Module(new StdFreeList(StdFreeListSize)) 488b8e745dSYikeZhou 499aca92b9SYinan Xu // decide if given instruction needs allocating a new physical register (CfCtrl: from decode; RobCommitInfo: from rob) 50b034d3b9SLinJiawei def needDestReg[T <: CfCtrl](fp: Boolean, x: T): Bool = { 51b034d3b9SLinJiawei {if(fp) x.ctrl.fpWen else x.ctrl.rfWen && (x.ctrl.ldest =/= 0.U)} 52b034d3b9SLinJiawei } 539aca92b9SYinan Xu def needDestRegCommit[T <: RobCommitInfo](fp: Boolean, x: T): Bool = { 54*c3abb8b6SYinan Xu if(fp) x.fpWen else x.rfWen 55fe6452fcSYinan Xu } 568b8e745dSYikeZhou 57f4b2089aSYinan Xu // connect [redirect + walk] ports for __float point__ & __integer__ free list 585eb4af5bSYikeZhou Seq((fpFreeList, true), (intFreeList, false)).foreach{ case (fl, isFp) => 5970224bf6SYinan Xu fl.io.redirect := io.redirect.valid 6070224bf6SYinan Xu fl.io.walk := io.robCommits.isWalk 615eb4af5bSYikeZhou // when isWalk, use stepBack to restore head pointer of free list 625eb4af5bSYikeZhou // (if ME enabled, stepBack of intFreeList should be useless thus optimized out) 6370224bf6SYinan Xu fl.io.stepBack := PopCount(io.robCommits.valid.zip(io.robCommits.info).map{case (v, i) => v && needDestRegCommit(isFp, i)}) 644efb89cbSYikeZhou } 655eb4af5bSYikeZhou // walk has higher priority than allocation and thus we don't use isWalk here 665eb4af5bSYikeZhou // only when both fp and int free list and dispatch1 has enough space can we do allocation 6770224bf6SYinan Xu intFreeList.io.doAllocate := fpFreeList.io.canAllocate && io.out(0).ready 6870224bf6SYinan Xu fpFreeList.io.doAllocate := intFreeList.io.canAllocate && io.out(0).ready 695eb4af5bSYikeZhou 705eb4af5bSYikeZhou // dispatch1 ready ++ float point free list ready ++ int free list ready ++ not walk 7170224bf6SYinan Xu val canOut = io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk 725eb4af5bSYikeZhou 73b034d3b9SLinJiawei 749aca92b9SYinan Xu // speculatively assign the instruction with an robIdx 759aca92b9SYinan Xu val validCount = PopCount(io.in.map(_.valid)) // number of instructions waiting to enter rob (from decode) 769aca92b9SYinan Xu val robIdxHead = RegInit(0.U.asTypeOf(new RobPtr)) 778f77f081SYinan Xu val lastCycleMisprediction = RegNext(io.redirect.valid && !io.redirect.bits.flushItself()) 78f4b2089aSYinan Xu val robIdxHeadNext = Mux(io.redirect.valid, io.redirect.bits.robIdx, // redirect: move ptr to given rob index 799aca92b9SYinan Xu Mux(lastCycleMisprediction, robIdxHead + 1.U, // mis-predict: not flush robIdx itself 809aca92b9SYinan Xu Mux(canOut, robIdxHead + validCount, // instructions successfully entered next stage: increase robIdx 81f4b2089aSYinan Xu /* default */ robIdxHead))) // no instructions passed by this cycle: stick to old value 829aca92b9SYinan Xu robIdxHead := robIdxHeadNext 83588ceab5SYinan Xu 8400ad41d0SYinan Xu /** 8500ad41d0SYinan Xu * Rename: allocate free physical register and update rename table 8600ad41d0SYinan Xu */ 87b034d3b9SLinJiawei val uops = Wire(Vec(RenameWidth, new MicroOp)) 88b034d3b9SLinJiawei uops.foreach( uop => { 8920e31bd1SYinan Xu uop.srcState(0) := DontCare 9020e31bd1SYinan Xu uop.srcState(1) := DontCare 9120e31bd1SYinan Xu uop.srcState(2) := DontCare 929aca92b9SYinan Xu uop.robIdx := DontCare 936ae7ac7cSAllen uop.diffTestDebugLrScValid := DontCare 947cef916fSYinan Xu uop.debugInfo := DontCare 95bc86598fSWilliam Wang uop.lqIdx := DontCare 96bc86598fSWilliam Wang uop.sqIdx := DontCare 97b034d3b9SLinJiawei }) 98b034d3b9SLinJiawei 9999b8dc2cSYinan Xu val needFpDest = Wire(Vec(RenameWidth, Bool())) 10099b8dc2cSYinan Xu val needIntDest = Wire(Vec(RenameWidth, Bool())) 101b424051cSYinan Xu val hasValid = Cat(io.in.map(_.valid)).orR 1028b8e745dSYikeZhou 1038b8e745dSYikeZhou val isMove = io.in.map(_.bits.ctrl.isMove) 1040153cd55SYikeZhou val intPsrc = Wire(Vec(RenameWidth, UInt())) 1058b8e745dSYikeZhou 1068b8e745dSYikeZhou val intSpecWen = Wire(Vec(RenameWidth, Bool())) 1078b8e745dSYikeZhou val fpSpecWen = Wire(Vec(RenameWidth, Bool())) 1088b8e745dSYikeZhou 1098b8e745dSYikeZhou // uop calculation 110b034d3b9SLinJiawei for (i <- 0 until RenameWidth) { 111b034d3b9SLinJiawei uops(i).cf := io.in(i).bits.cf 112b034d3b9SLinJiawei uops(i).ctrl := io.in(i).bits.ctrl 113b034d3b9SLinJiawei 114567096a6Slinjiawei val inValid = io.in(i).valid 1152dcb2daaSLinJiawei 116b034d3b9SLinJiawei // alloc a new phy reg 11799b8dc2cSYinan Xu needFpDest(i) := inValid && needDestReg(fp = true, io.in(i).bits) 11899b8dc2cSYinan Xu needIntDest(i) := inValid && needDestReg(fp = false, io.in(i).bits) 11970224bf6SYinan Xu fpFreeList.io.allocateReq(i) := needFpDest(i) 12070224bf6SYinan Xu intFreeList.io.allocateReq(i) := needIntDest(i) && !isMove(i) 1212438f9ebSYinan Xu 1228b8e745dSYikeZhou // no valid instruction from decode stage || all resources (dispatch1 + both free lists) ready 123b424051cSYinan Xu io.in(i).ready := !hasValid || canOut 12458e06390SLinJiawei 1259aca92b9SYinan Xu uops(i).robIdx := robIdxHead + PopCount(io.in.take(i).map(_.valid)) 126588ceab5SYinan Xu 1277fa2c198SYinan Xu val intPhySrcVec = io.intReadPorts(i).take(2) 1287fa2c198SYinan Xu val intOldPdest = io.intReadPorts(i).last 1290153cd55SYikeZhou intPsrc(i) := intPhySrcVec(0) 1307fa2c198SYinan Xu val fpPhySrcVec = io.fpReadPorts(i).take(3) 1317fa2c198SYinan Xu val fpOldPdest = io.fpReadPorts(i).last 13220e31bd1SYinan Xu uops(i).psrc(0) := Mux(uops(i).ctrl.srcType(0) === SrcType.reg, intPhySrcVec(0), fpPhySrcVec(0)) 13320e31bd1SYinan Xu uops(i).psrc(1) := Mux(uops(i).ctrl.srcType(1) === SrcType.reg, intPhySrcVec(1), fpPhySrcVec(1)) 13420e31bd1SYinan Xu uops(i).psrc(2) := fpPhySrcVec(2) 135b034d3b9SLinJiawei uops(i).old_pdest := Mux(uops(i).ctrl.rfWen, intOldPdest, fpOldPdest) 13670224bf6SYinan Xu uops(i).eliminatedMove := isMove(i) 1378b8e745dSYikeZhou 1388b8e745dSYikeZhou // update pdest 13970224bf6SYinan Xu uops(i).pdest := Mux(needIntDest(i), intFreeList.io.allocatePhyReg(i), // normal int inst 14070224bf6SYinan Xu // normal fp inst 14170224bf6SYinan Xu Mux(needFpDest(i), fpFreeList.io.allocatePhyReg(i), 14270224bf6SYinan Xu /* default */0.U)) 1438b8e745dSYikeZhou 144ebb8ebf8SYinan Xu // Assign performance counters 145ebb8ebf8SYinan Xu uops(i).debugInfo.renameTime := GTimer() 146ebb8ebf8SYinan Xu 14770224bf6SYinan Xu io.out(i).valid := io.in(i).valid && intFreeList.io.canAllocate && fpFreeList.io.canAllocate && !io.robCommits.isWalk 148ebb8ebf8SYinan Xu io.out(i).bits := uops(i) 149a020ce37SYinan Xu when (io.out(i).bits.ctrl.fuType === FuType.fence) { 150a020ce37SYinan Xu io.out(i).bits.ctrl.imm := Cat(io.in(i).bits.ctrl.lsrc(1), io.in(i).bits.ctrl.lsrc(0)) 151a020ce37SYinan Xu } 152ebb8ebf8SYinan Xu 1538b8e745dSYikeZhou // write speculative rename table 15439d3280eSYikeZhou // we update rat later inside commit code 15570224bf6SYinan Xu intSpecWen(i) := needIntDest(i) && intFreeList.io.canAllocate && intFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 15670224bf6SYinan Xu fpSpecWen(i) := needFpDest(i) && fpFreeList.io.canAllocate && fpFreeList.io.doAllocate && !io.robCommits.isWalk && !io.redirect.valid 15770224bf6SYinan Xu 15870224bf6SYinan Xu intRefCounter.io.allocate(i).valid := intSpecWen(i) 15970224bf6SYinan Xu intRefCounter.io.allocate(i).bits := io.out(i).bits.pdest 160b034d3b9SLinJiawei } 161b034d3b9SLinJiawei 16270224bf6SYinan Xu /** 16370224bf6SYinan Xu * How to set psrc: 16470224bf6SYinan Xu * - bypass the pdest to psrc if previous instructions write to the same ldest as lsrc 16570224bf6SYinan Xu * - default: psrc from RAT 16670224bf6SYinan Xu * How to set pdest: 16770224bf6SYinan Xu * - Mux(isMove, psrc, pdest_from_freelist). 16870224bf6SYinan Xu * 16970224bf6SYinan Xu * The critical path of rename lies here: 17070224bf6SYinan Xu * When move elimination is enabled, we need to update the rat with psrc. 17170224bf6SYinan Xu * However, psrc maybe comes from previous instructions' pdest, which comes from freelist. 17270224bf6SYinan Xu * 17370224bf6SYinan Xu * If we expand these logic for pdest(N): 17470224bf6SYinan Xu * pdest(N) = Mux(isMove(N), psrc(N), freelist_out(N)) 17570224bf6SYinan Xu * = Mux(isMove(N), Mux(bypass(N, N - 1), pdest(N - 1), 17670224bf6SYinan Xu * Mux(bypass(N, N - 2), pdest(N - 2), 17770224bf6SYinan Xu * ... 17870224bf6SYinan Xu * Mux(bypass(N, 0), pdest(0), 17970224bf6SYinan Xu * rat_out(N))...)), 18070224bf6SYinan Xu * freelist_out(N)) 18170224bf6SYinan Xu */ 18270224bf6SYinan Xu // a simple functional model for now 18370224bf6SYinan Xu io.out(0).bits.pdest := Mux(isMove(0), uops(0).psrc.head, uops(0).pdest) 18470224bf6SYinan Xu val bypassCond = Wire(Vec(4, MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))))) 18599b8dc2cSYinan Xu for (i <- 1 until RenameWidth) { 18670224bf6SYinan Xu val fpCond = io.in(i).bits.ctrl.srcType.map(_ === SrcType.fp) :+ needFpDest(i) 18770224bf6SYinan Xu val intCond = io.in(i).bits.ctrl.srcType.map(_ === SrcType.reg) :+ needIntDest(i) 18870224bf6SYinan Xu val target = io.in(i).bits.ctrl.lsrc :+ io.in(i).bits.ctrl.ldest 18970224bf6SYinan Xu for ((((cond1, cond2), t), j) <- fpCond.zip(intCond).zip(target).zipWithIndex) { 19070224bf6SYinan Xu val destToSrc = io.in.take(i).zipWithIndex.map { case (in, j) => 19170224bf6SYinan Xu val indexMatch = in.bits.ctrl.ldest === t 19270224bf6SYinan Xu val writeMatch = cond2 && needIntDest(j) || cond1 && needFpDest(j) 19370224bf6SYinan Xu indexMatch && writeMatch 19470224bf6SYinan Xu } 19570224bf6SYinan Xu bypassCond(j)(i - 1) := VecInit(destToSrc).asUInt 19670224bf6SYinan Xu } 19770224bf6SYinan Xu io.out(i).bits.psrc(0) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(0)(i-1).asBools).foldLeft(uops(i).psrc(0)) { 19870224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 19970224bf6SYinan Xu } 20070224bf6SYinan Xu io.out(i).bits.psrc(1) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(1)(i-1).asBools).foldLeft(uops(i).psrc(1)) { 20170224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 20270224bf6SYinan Xu } 20370224bf6SYinan Xu io.out(i).bits.psrc(2) := io.out.take(i).map(_.bits.pdest).zip(bypassCond(2)(i-1).asBools).foldLeft(uops(i).psrc(2)) { 20470224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 20570224bf6SYinan Xu } 20670224bf6SYinan Xu io.out(i).bits.old_pdest := io.out.take(i).map(_.bits.pdest).zip(bypassCond(3)(i-1).asBools).foldLeft(uops(i).old_pdest) { 20770224bf6SYinan Xu (z, next) => Mux(next._2, next._1, z) 20870224bf6SYinan Xu } 20970224bf6SYinan Xu io.out(i).bits.pdest := Mux(isMove(i), io.out(i).bits.psrc(0), uops(i).pdest) 210b034d3b9SLinJiawei } 21100ad41d0SYinan Xu 2128b8e745dSYikeZhou // calculate lsq space requirement 213049559e7SYinan Xu val isLs = VecInit(uops.map(uop => FuType.isLoadStore(uop.ctrl.fuType))) 214049559e7SYinan Xu val isStore = VecInit(uops.map(uop => FuType.isStoreExu(uop.ctrl.fuType))) 215049559e7SYinan Xu val isAMO = VecInit(uops.map(uop => FuType.isAMO(uop.ctrl.fuType))) 216049559e7SYinan Xu io.dispatchInfo.lsqNeedAlloc := VecInit((0 until RenameWidth).map(i => 217049559e7SYinan Xu Mux(isLs(i), Mux(isStore(i) && !isAMO(i), 2.U, 1.U), 0.U))) 218049559e7SYinan Xu 21900ad41d0SYinan Xu /** 22000ad41d0SYinan Xu * Instructions commit: update freelist and rename table 22100ad41d0SYinan Xu */ 22200ad41d0SYinan Xu for (i <- 0 until CommitWidth) { 22300ad41d0SYinan Xu 2247fa2c198SYinan Xu Seq((io.intRenamePorts, false), (io.fpRenamePorts, true)) foreach { case (rat, fp) => 2258b8e745dSYikeZhou // is valid commit req and given instruction has destination register 2269aca92b9SYinan Xu val commitDestValid = io.robCommits.valid(i) && needDestRegCommit(fp, io.robCommits.info(i)) 2279aca92b9SYinan Xu XSDebug(p"isFp[${fp}]index[$i]-commitDestValid:$commitDestValid,isWalk:${io.robCommits.isWalk}\n") 2288b8e745dSYikeZhou 2298b8e745dSYikeZhou /* 2308b8e745dSYikeZhou I. RAT Update 2318b8e745dSYikeZhou */ 2328b8e745dSYikeZhou 2338b8e745dSYikeZhou // walk back write - restore spec state : ldest => old_pdest 2348b8e745dSYikeZhou if (fp && i < RenameWidth) { 2357fa2c198SYinan Xu // When redirect happens (mis-prediction), don't update the rename table 23670224bf6SYinan Xu rat(i).wen := fpSpecWen(i) 2377fa2c198SYinan Xu rat(i).addr := uops(i).ctrl.ldest 23870224bf6SYinan Xu rat(i).data := fpFreeList.io.allocatePhyReg(i) 2398b8e745dSYikeZhou } else if (!fp && i < RenameWidth) { 24070224bf6SYinan Xu rat(i).wen := intSpecWen(i) 2417fa2c198SYinan Xu rat(i).addr := uops(i).ctrl.ldest 24270224bf6SYinan Xu rat(i).data := io.out(i).bits.pdest 24339d3280eSYikeZhou } 2448b8e745dSYikeZhou 2458b8e745dSYikeZhou /* 2468b8e745dSYikeZhou II. Free List Update 2478b8e745dSYikeZhou */ 2488b8e745dSYikeZhou if (fp) { // Float Point free list 24970224bf6SYinan Xu fpFreeList.io.freeReq(i) := commitDestValid && !io.robCommits.isWalk 25070224bf6SYinan Xu fpFreeList.io.freePhyReg(i) := io.robCommits.info(i).old_pdest 2517fa2c198SYinan Xu } else { // Integer free list 25270224bf6SYinan Xu intFreeList.io.freeReq(i) := intRefCounter.io.freeRegs(i).valid 25370224bf6SYinan Xu intFreeList.io.freePhyReg(i) := intRefCounter.io.freeRegs(i).bits 25400ad41d0SYinan Xu } 25500ad41d0SYinan Xu } 25670224bf6SYinan Xu intRefCounter.io.deallocate(i).valid := io.robCommits.valid(i) && needDestRegCommit(false, io.robCommits.info(i)) 25770224bf6SYinan Xu intRefCounter.io.deallocate(i).bits := Mux(io.robCommits.isWalk, io.robCommits.info(i).pdest, io.robCommits.info(i).old_pdest) 2588b8e745dSYikeZhou } 2598b8e745dSYikeZhou 2608b8e745dSYikeZhou /* 26170224bf6SYinan Xu Debug and performance counters 2628b8e745dSYikeZhou */ 2638b8e745dSYikeZhou def printRenameInfo(in: DecoupledIO[CfCtrl], out: DecoupledIO[MicroOp]) = { 26470224bf6SYinan Xu XSInfo(out.fire, p"pc:${Hexadecimal(in.bits.cf.pc)} in(${in.valid},${in.ready}) " + 2658b8e745dSYikeZhou p"lsrc(0):${in.bits.ctrl.lsrc(0)} -> psrc(0):${out.bits.psrc(0)} " + 2668b8e745dSYikeZhou p"lsrc(1):${in.bits.ctrl.lsrc(1)} -> psrc(1):${out.bits.psrc(1)} " + 2678b8e745dSYikeZhou p"lsrc(2):${in.bits.ctrl.lsrc(2)} -> psrc(2):${out.bits.psrc(2)} " + 2688b8e745dSYikeZhou p"ldest:${in.bits.ctrl.ldest} -> pdest:${out.bits.pdest} " + 26970224bf6SYinan Xu p"old_pdest:${out.bits.old_pdest}\n" 2708b8e745dSYikeZhou ) 2718b8e745dSYikeZhou } 2728b8e745dSYikeZhou 2738b8e745dSYikeZhou for((x,y) <- io.in.zip(io.out)){ 2748b8e745dSYikeZhou printRenameInfo(x, y) 2758b8e745dSYikeZhou } 2768b8e745dSYikeZhou 2779aca92b9SYinan Xu XSDebug(io.robCommits.isWalk, p"Walk Recovery Enabled\n") 2789aca92b9SYinan Xu XSDebug(io.robCommits.isWalk, p"validVec:${Binary(io.robCommits.valid.asUInt)}\n") 2798b8e745dSYikeZhou for (i <- 0 until CommitWidth) { 2809aca92b9SYinan Xu val info = io.robCommits.info(i) 2819aca92b9SYinan Xu XSDebug(io.robCommits.isWalk && io.robCommits.valid(i), p"[#$i walk info] pc:${Hexadecimal(info.pc)} " + 2827fa2c198SYinan Xu p"ldest:${info.ldest} rfWen:${info.rfWen} fpWen:${info.fpWen} " + p"eliminatedMove:${info.eliminatedMove} " + 2838b8e745dSYikeZhou p"pdest:${info.pdest} old_pdest:${info.old_pdest}\n") 2848b8e745dSYikeZhou } 2858b8e745dSYikeZhou 2868b8e745dSYikeZhou XSDebug(p"inValidVec: ${Binary(Cat(io.in.map(_.valid)))}\n") 2878b8e745dSYikeZhou 288408a32b7SAllen XSPerfAccumulate("in", Mux(RegNext(io.in(0).ready), PopCount(io.in.map(_.valid)), 0.U)) 289408a32b7SAllen XSPerfAccumulate("utilization", PopCount(io.in.map(_.valid))) 290408a32b7SAllen XSPerfAccumulate("waitInstr", PopCount((0 until RenameWidth).map(i => io.in(i).valid && !io.in(i).ready))) 29170224bf6SYinan Xu XSPerfAccumulate("stall_cycle_dispatch", hasValid && !io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk) 29270224bf6SYinan Xu XSPerfAccumulate("stall_cycle_fp", hasValid && io.out(0).ready && !fpFreeList.io.canAllocate && intFreeList.io.canAllocate && !io.robCommits.isWalk) 29370224bf6SYinan Xu XSPerfAccumulate("stall_cycle_int", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && !intFreeList.io.canAllocate && !io.robCommits.isWalk) 29470224bf6SYinan Xu XSPerfAccumulate("stall_cycle_walk", hasValid && io.out(0).ready && fpFreeList.io.canAllocate && intFreeList.io.canAllocate && io.robCommits.isWalk) 2955eb4af5bSYikeZhou 29670224bf6SYinan Xu XSPerfAccumulate("move_instr_count", PopCount(io.out.map(out => out.fire() && out.bits.ctrl.isMove))) 2975eb4af5bSYikeZhou} 298