15844fcf0SLinJiaweipackage xiangshan.backend.rename 25844fcf0SLinJiawei 35844fcf0SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 55844fcf0SLinJiaweiimport xiangshan._ 67cef916fSYinan Xuimport utils._ 7588ceab5SYinan Xuimport xiangshan.backend.roq.RoqPtr 85844fcf0SLinJiawei 999b8dc2cSYinan Xuclass RenameBypassInfo extends XSBundle { 1099b8dc2cSYinan Xu val lsrc1_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))) 1199b8dc2cSYinan Xu val lsrc2_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))) 1299b8dc2cSYinan Xu val lsrc3_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))) 1399b8dc2cSYinan Xu val ldest_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))) 1499b8dc2cSYinan Xu} 1599b8dc2cSYinan Xu 16588ceab5SYinan Xuclass Rename extends XSModule with HasCircularQueuePtrHelper { 175844fcf0SLinJiawei val io = IO(new Bundle() { 185844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 192d7c7105SYinan Xu val flush = Input(Bool()) 2021e7a6c5SYinan Xu val roqCommits = Flipped(new RoqCommitIO) 2157c4f8d6SLinJiawei // from decode buffer 229a2e6b8aSLinJiawei val in = Vec(RenameWidth, Flipped(DecoupledIO(new CfCtrl))) 2357c4f8d6SLinJiawei // to dispatch1 249a2e6b8aSLinJiawei val out = Vec(RenameWidth, DecoupledIO(new MicroOp)) 2599b8dc2cSYinan Xu val renameBypass = Output(new RenameBypassInfo) 265844fcf0SLinJiawei }) 27b034d3b9SLinJiawei 282e9d39e0SLinJiawei def printRenameInfo(in: DecoupledIO[CfCtrl], out: DecoupledIO[MicroOp]) = { 292e9d39e0SLinJiawei XSInfo( 30567096a6Slinjiawei in.valid && in.ready, 3158e06390SLinJiawei p"pc:${Hexadecimal(in.bits.cf.pc)} in v:${in.valid} in rdy:${in.ready} " + 322e9d39e0SLinJiawei p"lsrc1:${in.bits.ctrl.lsrc1} -> psrc1:${out.bits.psrc1} " + 332e9d39e0SLinJiawei p"lsrc2:${in.bits.ctrl.lsrc2} -> psrc2:${out.bits.psrc2} " + 342e9d39e0SLinJiawei p"lsrc3:${in.bits.ctrl.lsrc3} -> psrc3:${out.bits.psrc3} " + 352e9d39e0SLinJiawei p"ldest:${in.bits.ctrl.ldest} -> pdest:${out.bits.pdest} " + 36c7054babSLinJiawei p"old_pdest:${out.bits.old_pdest} " + 3758e06390SLinJiawei p"out v:${out.valid} r:${out.ready}\n" 382e9d39e0SLinJiawei ) 392e9d39e0SLinJiawei } 402e9d39e0SLinJiawei 412e9d39e0SLinJiawei for((x,y) <- io.in.zip(io.out)){ 422e9d39e0SLinJiawei printRenameInfo(x, y) 432e9d39e0SLinJiawei } 442e9d39e0SLinJiawei 4500ad41d0SYinan Xu val intFreeList, fpFreeList = Module(new FreeList).io 46b034d3b9SLinJiawei val intRat = Module(new RenameTable(float = false)).io 4700ad41d0SYinan Xu val fpRat = Module(new RenameTable(float = true)).io 4800ad41d0SYinan Xu val allPhyResource = Seq((intRat, intFreeList, false), (fpRat, fpFreeList, true)) 49b034d3b9SLinJiawei 5000ad41d0SYinan Xu allPhyResource.map{ case (rat, freelist, _) => 51*8f77f081SYinan Xu rat.redirect := io.redirect.valid 522d7c7105SYinan Xu rat.flush := io.flush 5300ad41d0SYinan Xu rat.walkWen := io.roqCommits.isWalk 54*8f77f081SYinan Xu freelist.redirect := io.redirect.valid 552d7c7105SYinan Xu freelist.flush := io.flush 5600ad41d0SYinan Xu freelist.walk.valid := io.roqCommits.isWalk 5700ad41d0SYinan Xu } 58588ceab5SYinan Xu val canOut = io.out(0).ready && fpFreeList.req.canAlloc && intFreeList.req.canAlloc && !io.roqCommits.isWalk 59b034d3b9SLinJiawei 60b034d3b9SLinJiawei def needDestReg[T <: CfCtrl](fp: Boolean, x: T): Bool = { 61b034d3b9SLinJiawei {if(fp) x.ctrl.fpWen else x.ctrl.rfWen && (x.ctrl.ldest =/= 0.U)} 62b034d3b9SLinJiawei } 63fe6452fcSYinan Xu def needDestRegCommit[T <: RoqCommitInfo](fp: Boolean, x: T): Bool = { 64fe6452fcSYinan Xu {if(fp) x.fpWen else x.rfWen && (x.ldest =/= 0.U)} 65fe6452fcSYinan Xu } 6600ad41d0SYinan Xu fpFreeList.walk.bits := PopCount(io.roqCommits.valid.zip(io.roqCommits.info).map{case (v, i) => v && needDestRegCommit(true, i)}) 6700ad41d0SYinan Xu intFreeList.walk.bits := PopCount(io.roqCommits.valid.zip(io.roqCommits.info).map{case (v, i) => v && needDestRegCommit(false, i)}) 68c0bcc0d1SYinan Xu // walk has higher priority than allocation and thus we don't use isWalk here 692438f9ebSYinan Xu fpFreeList.req.doAlloc := intFreeList.req.canAlloc && io.out(0).ready 702438f9ebSYinan Xu intFreeList.req.doAlloc := fpFreeList.req.canAlloc && io.out(0).ready 71b034d3b9SLinJiawei 72588ceab5SYinan Xu // speculatively assign the instruction with an roqIdx 73588ceab5SYinan Xu val validCount = PopCount(io.in.map(_.valid)) 74588ceab5SYinan Xu val roqIdxHead = RegInit(0.U.asTypeOf(new RoqPtr)) 75*8f77f081SYinan Xu val lastCycleMisprediction = RegNext(io.redirect.valid && !io.redirect.bits.flushItself()) 76*8f77f081SYinan Xu val roqIdxHeadNext = Mux(io.flush, 77*8f77f081SYinan Xu 0.U.asTypeOf(new RoqPtr), 78*8f77f081SYinan Xu Mux(io.redirect.valid, 79*8f77f081SYinan Xu io.redirect.bits.roqIdx, 80*8f77f081SYinan Xu Mux(lastCycleMisprediction, 81*8f77f081SYinan Xu roqIdxHead + 1.U, 82*8f77f081SYinan Xu Mux(canOut, roqIdxHead + validCount, roqIdxHead)) 83*8f77f081SYinan Xu ) 84588ceab5SYinan Xu ) 85588ceab5SYinan Xu roqIdxHead := roqIdxHeadNext 86588ceab5SYinan Xu 8700ad41d0SYinan Xu /** 8800ad41d0SYinan Xu * Rename: allocate free physical register and update rename table 8900ad41d0SYinan Xu */ 90b034d3b9SLinJiawei val uops = Wire(Vec(RenameWidth, new MicroOp)) 91b034d3b9SLinJiawei 92b034d3b9SLinJiawei uops.foreach( uop => { 930e9eef65SYinan Xu// uop.brMask := DontCare 940e9eef65SYinan Xu// uop.brTag := DontCare 95b034d3b9SLinJiawei uop.src1State := DontCare 96b034d3b9SLinJiawei uop.src2State := DontCare 97b034d3b9SLinJiawei uop.src3State := DontCare 98b034d3b9SLinJiawei uop.roqIdx := DontCare 996ae7ac7cSAllen uop.diffTestDebugLrScValid := DontCare 1007cef916fSYinan Xu uop.debugInfo := DontCare 101bc86598fSWilliam Wang uop.lqIdx := DontCare 102bc86598fSWilliam Wang uop.sqIdx := DontCare 103b034d3b9SLinJiawei }) 104b034d3b9SLinJiawei 10599b8dc2cSYinan Xu val needFpDest = Wire(Vec(RenameWidth, Bool())) 10699b8dc2cSYinan Xu val needIntDest = Wire(Vec(RenameWidth, Bool())) 107b424051cSYinan Xu val hasValid = Cat(io.in.map(_.valid)).orR 108b034d3b9SLinJiawei for (i <- 0 until RenameWidth) { 109b034d3b9SLinJiawei uops(i).cf := io.in(i).bits.cf 110b034d3b9SLinJiawei uops(i).ctrl := io.in(i).bits.ctrl 1110e9eef65SYinan Xu uops(i).brTag := io.in(i).bits.brTag 112b034d3b9SLinJiawei 113567096a6Slinjiawei val inValid = io.in(i).valid 1142dcb2daaSLinJiawei 115b034d3b9SLinJiawei // alloc a new phy reg 11699b8dc2cSYinan Xu needFpDest(i) := inValid && needDestReg(fp = true, io.in(i).bits) 11799b8dc2cSYinan Xu needIntDest(i) := inValid && needDestReg(fp = false, io.in(i).bits) 1182438f9ebSYinan Xu fpFreeList.req.allocReqs(i) := needFpDest(i) 1192438f9ebSYinan Xu intFreeList.req.allocReqs(i) := needIntDest(i) 1202438f9ebSYinan Xu 121b424051cSYinan Xu io.in(i).ready := !hasValid || canOut 12258e06390SLinJiawei 123c7054babSLinJiawei // do checkpoints when a branch inst come 1244f787118SYinan Xu // for(fl <- Seq(fpFreeList, intFreeList)){ 1254f787118SYinan Xu // fl.cpReqs(i).valid := inValid 1264f787118SYinan Xu // fl.cpReqs(i).bits := io.in(i).bits.brTag 1274f787118SYinan Xu // } 12858e06390SLinJiawei 12999b8dc2cSYinan Xu uops(i).pdest := Mux(needIntDest(i), 1302438f9ebSYinan Xu intFreeList.req.pdests(i), 131c7054babSLinJiawei Mux( 132c7054babSLinJiawei uops(i).ctrl.ldest===0.U && uops(i).ctrl.rfWen, 1332438f9ebSYinan Xu 0.U, fpFreeList.req.pdests(i) 134c7054babSLinJiawei ) 135c7054babSLinJiawei ) 136b034d3b9SLinJiawei 137588ceab5SYinan Xu uops(i).roqIdx := roqIdxHead + i.U 138588ceab5SYinan Xu 139c0bcc0d1SYinan Xu io.out(i).valid := io.in(i).valid && intFreeList.req.canAlloc && fpFreeList.req.canAlloc && !io.roqCommits.isWalk 140b034d3b9SLinJiawei io.out(i).bits := uops(i) 141b034d3b9SLinJiawei 14200ad41d0SYinan Xu // write speculative rename table 14300ad41d0SYinan Xu allPhyResource.map{ case (rat, freelist, _) => 14400ad41d0SYinan Xu val specWen = freelist.req.allocReqs(i) && freelist.req.canAlloc && freelist.req.doAlloc && !io.roqCommits.isWalk 145b034d3b9SLinJiawei 14600ad41d0SYinan Xu rat.specWritePorts(i).wen := specWen 14700ad41d0SYinan Xu rat.specWritePorts(i).addr := uops(i).ctrl.ldest 14800ad41d0SYinan Xu rat.specWritePorts(i).wdata := freelist.req.pdests(i) 149b034d3b9SLinJiawei 15000ad41d0SYinan Xu freelist.deallocReqs(i) := specWen 151b034d3b9SLinJiawei } 152b034d3b9SLinJiawei 153b034d3b9SLinJiawei // read rename table 154b034d3b9SLinJiawei def readRat(lsrcList: List[UInt], ldest: UInt, fp: Boolean) = { 155b034d3b9SLinJiawei val rat = if(fp) fpRat else intRat 156b034d3b9SLinJiawei val srcCnt = lsrcList.size 157b034d3b9SLinJiawei val psrcVec = Wire(Vec(srcCnt, UInt(PhyRegIdxWidth.W))) 158b034d3b9SLinJiawei val old_pdest = Wire(UInt(PhyRegIdxWidth.W)) 159b034d3b9SLinJiawei for(k <- 0 until srcCnt+1){ 160b034d3b9SLinJiawei val rportIdx = i * (srcCnt+1) + k 161b034d3b9SLinJiawei if(k != srcCnt){ 162b034d3b9SLinJiawei rat.readPorts(rportIdx).addr := lsrcList(k) 163b034d3b9SLinJiawei psrcVec(k) := rat.readPorts(rportIdx).rdata 164b034d3b9SLinJiawei } else { 165b034d3b9SLinJiawei rat.readPorts(rportIdx).addr := ldest 166b034d3b9SLinJiawei old_pdest := rat.readPorts(rportIdx).rdata 167b034d3b9SLinJiawei } 168b034d3b9SLinJiawei } 169b034d3b9SLinJiawei (psrcVec, old_pdest) 170b034d3b9SLinJiawei } 171b034d3b9SLinJiawei val lsrcList = List(uops(i).ctrl.lsrc1, uops(i).ctrl.lsrc2, uops(i).ctrl.lsrc3) 172b034d3b9SLinJiawei val ldest = uops(i).ctrl.ldest 173b034d3b9SLinJiawei val (intPhySrcVec, intOldPdest) = readRat(lsrcList.take(2), ldest, fp = false) 174b034d3b9SLinJiawei val (fpPhySrcVec, fpOldPdest) = readRat(lsrcList, ldest, fp = true) 175b034d3b9SLinJiawei uops(i).psrc1 := Mux(uops(i).ctrl.src1Type === SrcType.reg, intPhySrcVec(0), fpPhySrcVec(0)) 1763449c769SLinJiawei uops(i).psrc2 := Mux(uops(i).ctrl.src2Type === SrcType.reg, intPhySrcVec(1), fpPhySrcVec(1)) 177b034d3b9SLinJiawei uops(i).psrc3 := fpPhySrcVec(2) 178b034d3b9SLinJiawei uops(i).old_pdest := Mux(uops(i).ctrl.rfWen, intOldPdest, fpOldPdest) 179b034d3b9SLinJiawei } 180b034d3b9SLinJiawei 18199b8dc2cSYinan Xu // We don't bypass the old_pdest from valid instructions with the same ldest currently in rename stage. 18299b8dc2cSYinan Xu // Instead, we determine whether there're some dependences between the valid instructions. 18399b8dc2cSYinan Xu for (i <- 1 until RenameWidth) { 18499b8dc2cSYinan Xu io.renameBypass.lsrc1_bypass(i-1) := Cat((0 until i).map(j => { 18599b8dc2cSYinan Xu val fpMatch = needFpDest(j) && io.in(i).bits.ctrl.src1Type === SrcType.fp 18699b8dc2cSYinan Xu val intMatch = needIntDest(j) && io.in(i).bits.ctrl.src1Type === SrcType.reg 18799b8dc2cSYinan Xu (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.lsrc1 18899b8dc2cSYinan Xu }).reverse) 18999b8dc2cSYinan Xu io.renameBypass.lsrc2_bypass(i-1) := Cat((0 until i).map(j => { 19099b8dc2cSYinan Xu val fpMatch = needFpDest(j) && io.in(i).bits.ctrl.src2Type === SrcType.fp 19199b8dc2cSYinan Xu val intMatch = needIntDest(j) && io.in(i).bits.ctrl.src2Type === SrcType.reg 19299b8dc2cSYinan Xu (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.lsrc2 19399b8dc2cSYinan Xu }).reverse) 19499b8dc2cSYinan Xu io.renameBypass.lsrc3_bypass(i-1) := Cat((0 until i).map(j => { 19599b8dc2cSYinan Xu val fpMatch = needFpDest(j) && io.in(i).bits.ctrl.src3Type === SrcType.fp 19699b8dc2cSYinan Xu val intMatch = needIntDest(j) && io.in(i).bits.ctrl.src3Type === SrcType.reg 19799b8dc2cSYinan Xu (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.lsrc3 19899b8dc2cSYinan Xu }).reverse) 19999b8dc2cSYinan Xu io.renameBypass.ldest_bypass(i-1) := Cat((0 until i).map(j => { 20099b8dc2cSYinan Xu val fpMatch = needFpDest(j) && needFpDest(i) 20199b8dc2cSYinan Xu val intMatch = needIntDest(j) && needIntDest(i) 20299b8dc2cSYinan Xu (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.ldest 20399b8dc2cSYinan Xu }).reverse) 204b034d3b9SLinJiawei } 20500ad41d0SYinan Xu 20600ad41d0SYinan Xu /** 20700ad41d0SYinan Xu * Instructions commit: update freelist and rename table 20800ad41d0SYinan Xu */ 20900ad41d0SYinan Xu for (i <- 0 until CommitWidth) { 21000ad41d0SYinan Xu if (i >= RenameWidth) { 21100ad41d0SYinan Xu allPhyResource.map{ case (rat, _, _) => 21200ad41d0SYinan Xu rat.specWritePorts(i).wen := false.B 21300ad41d0SYinan Xu rat.specWritePorts(i).addr := DontCare 21400ad41d0SYinan Xu rat.specWritePorts(i).wdata := DontCare 21500ad41d0SYinan Xu } 21600ad41d0SYinan Xu } 21700ad41d0SYinan Xu 21800ad41d0SYinan Xu allPhyResource.map{ case (rat, freelist, fp) => 21900ad41d0SYinan Xu // walk back write 22000ad41d0SYinan Xu val commitDestValid = io.roqCommits.valid(i) && needDestRegCommit(fp, io.roqCommits.info(i)) 22100ad41d0SYinan Xu 22200ad41d0SYinan Xu when (commitDestValid && io.roqCommits.isWalk) { 22300ad41d0SYinan Xu rat.specWritePorts(i).wen := true.B 22400ad41d0SYinan Xu rat.specWritePorts(i).addr := io.roqCommits.info(i).ldest 22500ad41d0SYinan Xu rat.specWritePorts(i).wdata := io.roqCommits.info(i).old_pdest 22600ad41d0SYinan Xu XSInfo({if(fp) p"fp" else p"int "} + p"walk: " + 22700ad41d0SYinan Xu p" ldest:${rat.specWritePorts(i).addr} old_pdest:${rat.specWritePorts(i).wdata}\n") 22800ad41d0SYinan Xu } 22900ad41d0SYinan Xu 23000ad41d0SYinan Xu rat.archWritePorts(i).wen := commitDestValid && !io.roqCommits.isWalk 23100ad41d0SYinan Xu rat.archWritePorts(i).addr := io.roqCommits.info(i).ldest 23200ad41d0SYinan Xu rat.archWritePorts(i).wdata := io.roqCommits.info(i).pdest 23300ad41d0SYinan Xu 23400ad41d0SYinan Xu XSInfo(rat.archWritePorts(i).wen, 23500ad41d0SYinan Xu {if(fp) p"fp" else p"int "} + p" rat arch: ldest:${rat.archWritePorts(i).addr}" + 23600ad41d0SYinan Xu p" pdest:${rat.archWritePorts(i).wdata}\n" 23700ad41d0SYinan Xu ) 23800ad41d0SYinan Xu 23900ad41d0SYinan Xu freelist.deallocReqs(i) := rat.archWritePorts(i).wen 24000ad41d0SYinan Xu freelist.deallocPregs(i) := io.roqCommits.info(i).old_pdest 24100ad41d0SYinan Xu } 24200ad41d0SYinan Xu } 243b034d3b9SLinJiawei} 244