xref: /XiangShan/src/main/scala/xiangshan/backend/rename/Rename.scala (revision 8b8e745d3f08bd9c4a2bf803d2227e9ef420422e)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
175844fcf0SLinJiaweipackage xiangshan.backend.rename
185844fcf0SLinJiawei
192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
205844fcf0SLinJiaweiimport chisel3._
215844fcf0SLinJiaweiimport chisel3.util._
225844fcf0SLinJiaweiimport xiangshan._
237cef916fSYinan Xuimport utils._
24588ceab5SYinan Xuimport xiangshan.backend.roq.RoqPtr
25049559e7SYinan Xuimport xiangshan.backend.dispatch.PreDispatchInfo
265844fcf0SLinJiawei
272225d46eSJiawei Linclass RenameBypassInfo(implicit p: Parameters) extends XSBundle {
2899b8dc2cSYinan Xu  val lsrc1_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W)))
2999b8dc2cSYinan Xu  val lsrc2_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W)))
3099b8dc2cSYinan Xu  val lsrc3_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W)))
3199b8dc2cSYinan Xu  val ldest_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W)))
3299b8dc2cSYinan Xu}
3399b8dc2cSYinan Xu
342225d46eSJiawei Linclass Rename(implicit p: Parameters) extends XSModule with HasCircularQueuePtrHelper {
355844fcf0SLinJiawei  val io = IO(new Bundle() {
365844fcf0SLinJiawei    val redirect = Flipped(ValidIO(new Redirect))
372d7c7105SYinan Xu    val flush = Input(Bool())
3821e7a6c5SYinan Xu    val roqCommits = Flipped(new RoqCommitIO)
3957c4f8d6SLinJiawei    // from decode buffer
409a2e6b8aSLinJiawei    val in = Vec(RenameWidth, Flipped(DecoupledIO(new CfCtrl)))
4157c4f8d6SLinJiawei    // to dispatch1
429a2e6b8aSLinJiawei    val out = Vec(RenameWidth, DecoupledIO(new MicroOp))
4399b8dc2cSYinan Xu    val renameBypass = Output(new RenameBypassInfo)
44049559e7SYinan Xu    val dispatchInfo = Output(new PreDispatchInfo)
45*8b8e745dSYikeZhou    // for debug printing
462225d46eSJiawei Lin    val debug_int_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W)))
472225d46eSJiawei Lin    val debug_fp_rat = Vec(32, Output(UInt(PhyRegIdxWidth.W)))
485844fcf0SLinJiawei  })
49b034d3b9SLinJiawei
50*8b8e745dSYikeZhou  // create free list and rat
51*8b8e745dSYikeZhou  val intFreeList = Module(new AlternativeFreeList)
52*8b8e745dSYikeZhou  val fpFreeList = Module(new FreeList)
53*8b8e745dSYikeZhou
54*8b8e745dSYikeZhou  val intRat = Module(new RenameTable(float = false))
55*8b8e745dSYikeZhou  val fpRat = Module(new RenameTable(float = true))
56*8b8e745dSYikeZhou
57*8b8e745dSYikeZhou  // connect flush and redirect ports for rat
58*8b8e745dSYikeZhou  Seq(intRat, fpRat) foreach { case rat =>
59*8b8e745dSYikeZhou    rat.io.redirect := io.redirect.valid
60*8b8e745dSYikeZhou    rat.io.flush := io.flush
61*8b8e745dSYikeZhou    rat.io.walkWen := io.roqCommits.isWalk
622e9d39e0SLinJiawei  }
632e9d39e0SLinJiawei
64*8b8e745dSYikeZhou  // connect flush and redirect ports for __float point__ free list
65*8b8e745dSYikeZhou  fpFreeList.io.flush := io.flush
66*8b8e745dSYikeZhou  fpFreeList.io.redirect := io.redirect.valid
67*8b8e745dSYikeZhou  fpFreeList.io.walk.valid := io.roqCommits.isWalk
682e9d39e0SLinJiawei
69*8b8e745dSYikeZhou  // connect flush and redirect ports for __integer__ free list *(walk) is handled by dec
70*8b8e745dSYikeZhou  intFreeList.io.flush := io.flush
71*8b8e745dSYikeZhou  intFreeList.io.redirect := io.redirect.valid
72*8b8e745dSYikeZhou  intFreeList.io.walk := io.roqCommits.isWalk
73b034d3b9SLinJiawei
74*8b8e745dSYikeZhou  //           dispatch1 ready ++ float point free list ready ++ int free list ready      ++ not walk
75*8b8e745dSYikeZhou  val canOut = io.out(0).ready && fpFreeList.io.req.canAlloc && intFreeList.io.inc.canInc && !io.roqCommits.isWalk
76b034d3b9SLinJiawei
77*8b8e745dSYikeZhou  // decide if given instruction needs allocating a new physical register (CfCtrl: from decode; RoqCommitInfo: from roq)
78b034d3b9SLinJiawei  def needDestReg[T <: CfCtrl](fp: Boolean, x: T): Bool = {
79b034d3b9SLinJiawei    {if(fp) x.ctrl.fpWen else x.ctrl.rfWen && (x.ctrl.ldest =/= 0.U)}
80b034d3b9SLinJiawei  }
81fe6452fcSYinan Xu  def needDestRegCommit[T <: RoqCommitInfo](fp: Boolean, x: T): Bool = {
82fe6452fcSYinan Xu    {if(fp) x.fpWen else x.rfWen && (x.ldest =/= 0.U)}
83fe6452fcSYinan Xu  }
84*8b8e745dSYikeZhou
85*8b8e745dSYikeZhou  // when roqCommits.isWalk, use walk.bits to restore head pointer of free list
86*8b8e745dSYikeZhou  fpFreeList.io.walk.bits := PopCount(io.roqCommits.valid.zip(io.roqCommits.info).map{case (v, i) => v && needDestRegCommit(true, i)})
87*8b8e745dSYikeZhou
88*8b8e745dSYikeZhou
89c0bcc0d1SYinan Xu  // walk has higher priority than allocation and thus we don't use isWalk here
90*8b8e745dSYikeZhou  // only when both fp and int free list and dispatch1 has enough space can we do allocation
91*8b8e745dSYikeZhou  fpFreeList.io.req.doAlloc := intFreeList.io.inc.canInc && io.out(0).ready
92*8b8e745dSYikeZhou  intFreeList.io.inc.doInc := fpFreeList.io.req.canAlloc && io.out(0).ready
93*8b8e745dSYikeZhou
94*8b8e745dSYikeZhou
95b034d3b9SLinJiawei
96588ceab5SYinan Xu  // speculatively assign the instruction with an roqIdx
97*8b8e745dSYikeZhou  val validCount = PopCount(io.in.map(_.valid)) // number of instructions waiting to enter roq (from decode)
98588ceab5SYinan Xu  val roqIdxHead = RegInit(0.U.asTypeOf(new RoqPtr))
998f77f081SYinan Xu  val lastCycleMisprediction = RegNext(io.redirect.valid && !io.redirect.bits.flushItself())
100*8b8e745dSYikeZhou  val roqIdxHeadNext = Mux(io.flush, 0.U.asTypeOf(new RoqPtr), // flush: clear roq
101*8b8e745dSYikeZhou              Mux(io.redirect.valid, io.redirect.bits.roqIdx, // redirect: move ptr to given roq index (flush itself)
102*8b8e745dSYikeZhou         Mux(lastCycleMisprediction, roqIdxHead + 1.U, // mis-predict: not flush roqIdx itself
103*8b8e745dSYikeZhou                         Mux(canOut, roqIdxHead + validCount, // instructions successfully entered next stage: increase roqIdx
104*8b8e745dSYikeZhou                      /* default */  roqIdxHead)))) // no instructions passed by this cycle: stick to old value
105588ceab5SYinan Xu  roqIdxHead := roqIdxHeadNext
106588ceab5SYinan Xu
107*8b8e745dSYikeZhou
10800ad41d0SYinan Xu  /**
10900ad41d0SYinan Xu    * Rename: allocate free physical register and update rename table
11000ad41d0SYinan Xu    */
111b034d3b9SLinJiawei  val uops = Wire(Vec(RenameWidth, new MicroOp))
112b034d3b9SLinJiawei  uops.foreach( uop => {
11320e31bd1SYinan Xu    uop.srcState(0) := DontCare
11420e31bd1SYinan Xu    uop.srcState(1) := DontCare
11520e31bd1SYinan Xu    uop.srcState(2) := DontCare
116b034d3b9SLinJiawei    uop.roqIdx := DontCare
1176ae7ac7cSAllen    uop.diffTestDebugLrScValid := DontCare
1187cef916fSYinan Xu    uop.debugInfo := DontCare
119bc86598fSWilliam Wang    uop.lqIdx := DontCare
120bc86598fSWilliam Wang    uop.sqIdx := DontCare
121b034d3b9SLinJiawei  })
122b034d3b9SLinJiawei
12399b8dc2cSYinan Xu  val needFpDest = Wire(Vec(RenameWidth, Bool()))
12499b8dc2cSYinan Xu  val needIntDest = Wire(Vec(RenameWidth, Bool()))
125b424051cSYinan Xu  val hasValid = Cat(io.in.map(_.valid)).orR
126*8b8e745dSYikeZhou
127*8b8e745dSYikeZhou  val isMove = io.in.map(_.bits.ctrl.isMove)
128*8b8e745dSYikeZhou  val isMax = intFreeList.io.maxVec
129*8b8e745dSYikeZhou  val meEnable = WireInit(VecInit(Seq.fill(RenameWidth)(false.B)))
130*8b8e745dSYikeZhou  val psrc_cmp = Wire(MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))))
131*8b8e745dSYikeZhou
132*8b8e745dSYikeZhou  val intSpecWen = Wire(Vec(RenameWidth, Bool()))
133*8b8e745dSYikeZhou  val fpSpecWen = Wire(Vec(RenameWidth, Bool()))
134*8b8e745dSYikeZhou
135*8b8e745dSYikeZhou  // uop calculation
136b034d3b9SLinJiawei  for (i <- 0 until RenameWidth) {
137b034d3b9SLinJiawei    uops(i).cf := io.in(i).bits.cf
138b034d3b9SLinJiawei    uops(i).ctrl := io.in(i).bits.ctrl
139b034d3b9SLinJiawei
140567096a6Slinjiawei    val inValid = io.in(i).valid
1412dcb2daaSLinJiawei
142b034d3b9SLinJiawei    // alloc a new phy reg
14399b8dc2cSYinan Xu    needFpDest(i) := inValid && needDestReg(fp = true, io.in(i).bits)
14499b8dc2cSYinan Xu    needIntDest(i) := inValid && needDestReg(fp = false, io.in(i).bits)
145*8b8e745dSYikeZhou    fpFreeList.io.req.allocReqs(i) := needFpDest(i)
146*8b8e745dSYikeZhou    intFreeList.io.inc.req(i) := needIntDest(i)
1472438f9ebSYinan Xu
148*8b8e745dSYikeZhou    // no valid instruction from decode stage || all resources (dispatch1 + both free lists) ready
149b424051cSYinan Xu    io.in(i).ready := !hasValid || canOut
15058e06390SLinJiawei
151c7054babSLinJiawei    // do checkpoints when a branch inst come
1524f787118SYinan Xu    // for(fl <- Seq(fpFreeList, intFreeList)){
1534f787118SYinan Xu    //   fl.cpReqs(i).valid := inValid
1544f787118SYinan Xu    //   fl.cpReqs(i).bits := io.in(i).bits.brTag
1554f787118SYinan Xu    // }
15658e06390SLinJiawei
157b034d3b9SLinJiawei
158588ceab5SYinan Xu    uops(i).roqIdx := roqIdxHead + i.U
159588ceab5SYinan Xu
160*8b8e745dSYikeZhou    io.out(i).valid := io.in(i).valid && intFreeList.io.inc.canInc && fpFreeList.io.req.canAlloc && !io.roqCommits.isWalk
161b034d3b9SLinJiawei    io.out(i).bits := uops(i)
162b034d3b9SLinJiawei
163b034d3b9SLinJiawei
164b034d3b9SLinJiawei    // read rename table
165b034d3b9SLinJiawei    def readRat(lsrcList: List[UInt], ldest: UInt, fp: Boolean) = {
166b034d3b9SLinJiawei      val rat = if(fp) fpRat else intRat
167b034d3b9SLinJiawei      val srcCnt = lsrcList.size
168b034d3b9SLinJiawei      val psrcVec = Wire(Vec(srcCnt, UInt(PhyRegIdxWidth.W)))
169b034d3b9SLinJiawei      val old_pdest = Wire(UInt(PhyRegIdxWidth.W))
170b034d3b9SLinJiawei      for(k <- 0 until srcCnt+1){
171b034d3b9SLinJiawei        val rportIdx = i * (srcCnt+1) + k
172b034d3b9SLinJiawei        if(k != srcCnt){
173*8b8e745dSYikeZhou          rat.io.readPorts(rportIdx).addr := lsrcList(k)
174*8b8e745dSYikeZhou          psrcVec(k) := rat.io.readPorts(rportIdx).rdata
175b034d3b9SLinJiawei        } else {
176*8b8e745dSYikeZhou          rat.io.readPorts(rportIdx).addr := ldest
177*8b8e745dSYikeZhou          old_pdest := rat.io.readPorts(rportIdx).rdata
178b034d3b9SLinJiawei        }
179b034d3b9SLinJiawei      }
180b034d3b9SLinJiawei      (psrcVec, old_pdest)
181b034d3b9SLinJiawei    }
18220e31bd1SYinan Xu    val lsrcList = List(uops(i).ctrl.lsrc(0), uops(i).ctrl.lsrc(1), uops(i).ctrl.lsrc(2))
183b034d3b9SLinJiawei    val ldest = uops(i).ctrl.ldest
184b034d3b9SLinJiawei    val (intPhySrcVec, intOldPdest) = readRat(lsrcList.take(2), ldest, fp = false)
185b034d3b9SLinJiawei    val (fpPhySrcVec, fpOldPdest) = readRat(lsrcList, ldest, fp = true)
18620e31bd1SYinan Xu    uops(i).psrc(0) := Mux(uops(i).ctrl.srcType(0) === SrcType.reg, intPhySrcVec(0), fpPhySrcVec(0))
18720e31bd1SYinan Xu    uops(i).psrc(1) := Mux(uops(i).ctrl.srcType(1) === SrcType.reg, intPhySrcVec(1), fpPhySrcVec(1))
18820e31bd1SYinan Xu    uops(i).psrc(2) := fpPhySrcVec(2)
189b034d3b9SLinJiawei    uops(i).old_pdest := Mux(uops(i).ctrl.rfWen, intOldPdest, fpOldPdest)
190*8b8e745dSYikeZhou
191*8b8e745dSYikeZhou    if (i == 0) {
192*8b8e745dSYikeZhou      // calculate meEnable
193*8b8e745dSYikeZhou      meEnable(i) := isMove(i) && !isMax(uops(i).psrc(0))
194*8b8e745dSYikeZhou    } else {
195*8b8e745dSYikeZhou      // compare psrc0
196*8b8e745dSYikeZhou      psrc_cmp(i-1) := Cat((0 until i).map(j => {
197*8b8e745dSYikeZhou        uops(i).psrc(0) === uops(j).psrc(0)
198*8b8e745dSYikeZhou      }) /* reverse is not necessary here */)
199*8b8e745dSYikeZhou
200*8b8e745dSYikeZhou      // calculate meEnable
201*8b8e745dSYikeZhou      meEnable(i) := isMove(i) && !(io.renameBypass.lsrc1_bypass(i-1).orR | psrc_cmp(i-1).orR | isMax(uops(i).psrc(0)))
202*8b8e745dSYikeZhou    }
203*8b8e745dSYikeZhou    uops(i).eliminatedMove := meEnable(i)
204*8b8e745dSYikeZhou
205*8b8e745dSYikeZhou    // send psrc of eliminated move instructions to free list and label them as eliminated
206*8b8e745dSYikeZhou    when (meEnable(i)) {
207*8b8e745dSYikeZhou      intFreeList.io.inc.psrcOfMove(i).valid := true.B
208*8b8e745dSYikeZhou      intFreeList.io.inc.psrcOfMove(i).bits := uops(i).psrc(0)
209*8b8e745dSYikeZhou      XSInfo(io.in(i).valid && io.out(i).valid, p"Move instruction ${Hexadecimal(io.in(i).bits.cf.pc)} eliminated successfully! psrc:${uops(i).psrc(0)}\n")
210*8b8e745dSYikeZhou    } .otherwise {
211*8b8e745dSYikeZhou      intFreeList.io.inc.psrcOfMove(i).valid := false.B
212*8b8e745dSYikeZhou      intFreeList.io.inc.psrcOfMove(i).bits := DontCare
213*8b8e745dSYikeZhou      XSInfo(io.in(i).valid && io.out(i).valid && isMove(i), p"Move instruction ${Hexadecimal(io.in(i).bits.cf.pc)} failed to be eliminated! psrc:${uops(i).psrc(0)}\n")
214*8b8e745dSYikeZhou    }
215*8b8e745dSYikeZhou
216*8b8e745dSYikeZhou    // update pdest
217*8b8e745dSYikeZhou    uops(i).pdest := Mux(meEnable(i), uops(i).psrc(0), // move eliminated
218*8b8e745dSYikeZhou                     Mux(needIntDest(i), intFreeList.io.inc.pdests(i), // normal int inst
219*8b8e745dSYikeZhou                     Mux(uops(i).ctrl.ldest===0.U && uops(i).ctrl.rfWen, 0.U // int inst with dst=r0
220*8b8e745dSYikeZhou                     /* default */, fpFreeList.io.req.pdests(i)))) // normal fp inst
221*8b8e745dSYikeZhou
222*8b8e745dSYikeZhou    // write speculative rename table
223*8b8e745dSYikeZhou    intSpecWen(i) := intFreeList.io.inc.req(i) && intFreeList.io.inc.canInc && intFreeList.io.inc.doInc && !io.roqCommits.isWalk
224*8b8e745dSYikeZhou    // intRat.io.specWritePorts(i).wen := intSpecWen
225*8b8e745dSYikeZhou    // intRat.io.specWritePorts(i).addr := uops(i).ctrl.ldest
226*8b8e745dSYikeZhou    // intRat.io.specWritePorts(i).wdata := Mux(meEnable(i), uops(i).psrc(0), intFreeList.io.inc.pdests(i))
227*8b8e745dSYikeZhou
228*8b8e745dSYikeZhou    fpSpecWen(i) := fpFreeList.io.req.allocReqs(i) && fpFreeList.io.req.canAlloc && fpFreeList.io.req.doAlloc && !io.roqCommits.isWalk
229*8b8e745dSYikeZhou    // fpRat.io.specWritePorts(i).wen := fpSpecWen
230*8b8e745dSYikeZhou    // fpRat.io.specWritePorts(i).addr := uops(i).ctrl.ldest
231*8b8e745dSYikeZhou    // fpRat.io.specWritePorts(i).wdata := fpFreeList.io.req.pdests(i)
232b034d3b9SLinJiawei  }
233b034d3b9SLinJiawei
23499b8dc2cSYinan Xu  // We don't bypass the old_pdest from valid instructions with the same ldest currently in rename stage.
235*8b8e745dSYikeZhou  // Instead, we determine whether there're some dependencies between the valid instructions.
23699b8dc2cSYinan Xu  for (i <- 1 until RenameWidth) {
23799b8dc2cSYinan Xu    io.renameBypass.lsrc1_bypass(i-1) := Cat((0 until i).map(j => {
23820e31bd1SYinan Xu      val fpMatch  = needFpDest(j) && io.in(i).bits.ctrl.srcType(0) === SrcType.fp
23920e31bd1SYinan Xu      val intMatch = needIntDest(j) && io.in(i).bits.ctrl.srcType(0) === SrcType.reg
24020e31bd1SYinan Xu      (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.lsrc(0)
24199b8dc2cSYinan Xu    }).reverse)
24299b8dc2cSYinan Xu    io.renameBypass.lsrc2_bypass(i-1) := Cat((0 until i).map(j => {
24320e31bd1SYinan Xu      val fpMatch  = needFpDest(j) && io.in(i).bits.ctrl.srcType(1) === SrcType.fp
24420e31bd1SYinan Xu      val intMatch = needIntDest(j) && io.in(i).bits.ctrl.srcType(1) === SrcType.reg
24520e31bd1SYinan Xu      (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.lsrc(1)
24699b8dc2cSYinan Xu    }).reverse)
24799b8dc2cSYinan Xu    io.renameBypass.lsrc3_bypass(i-1) := Cat((0 until i).map(j => {
24820e31bd1SYinan Xu      val fpMatch  = needFpDest(j) && io.in(i).bits.ctrl.srcType(2) === SrcType.fp
24920e31bd1SYinan Xu      val intMatch = needIntDest(j) && io.in(i).bits.ctrl.srcType(2) === SrcType.reg
25020e31bd1SYinan Xu      (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.lsrc(2)
25199b8dc2cSYinan Xu    }).reverse)
25299b8dc2cSYinan Xu    io.renameBypass.ldest_bypass(i-1) := Cat((0 until i).map(j => {
25399b8dc2cSYinan Xu      val fpMatch  = needFpDest(j) && needFpDest(i)
25499b8dc2cSYinan Xu      val intMatch = needIntDest(j) && needIntDest(i)
25599b8dc2cSYinan Xu      (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.ldest
25699b8dc2cSYinan Xu    }).reverse)
257b034d3b9SLinJiawei  }
25800ad41d0SYinan Xu
259*8b8e745dSYikeZhou  // calculate lsq space requirement
260049559e7SYinan Xu  val isLs    = VecInit(uops.map(uop => FuType.isLoadStore(uop.ctrl.fuType)))
261049559e7SYinan Xu  val isStore = VecInit(uops.map(uop => FuType.isStoreExu(uop.ctrl.fuType)))
262049559e7SYinan Xu  val isAMO   = VecInit(uops.map(uop => FuType.isAMO(uop.ctrl.fuType)))
263049559e7SYinan Xu  io.dispatchInfo.lsqNeedAlloc := VecInit((0 until RenameWidth).map(i =>
264049559e7SYinan Xu    Mux(isLs(i), Mux(isStore(i) && !isAMO(i), 2.U, 1.U), 0.U)))
265049559e7SYinan Xu
26600ad41d0SYinan Xu  /**
26700ad41d0SYinan Xu    * Instructions commit: update freelist and rename table
26800ad41d0SYinan Xu    */
26900ad41d0SYinan Xu  for (i <- 0 until CommitWidth) {
270*8b8e745dSYikeZhou    // when RenameWidth <= CommitWidth, there will be more write ports than read ports, which must be initialized
271*8b8e745dSYikeZhou    // normally, they are initialized in 'normal write' section
27200ad41d0SYinan Xu    if (i >= RenameWidth) {
273*8b8e745dSYikeZhou      Seq(intRat, fpRat) foreach { case rat =>
274*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wen   := false.B
275*8b8e745dSYikeZhou        rat.io.specWritePorts(i).addr  := DontCare
276*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wdata := DontCare
27700ad41d0SYinan Xu      }
27800ad41d0SYinan Xu    }
27900ad41d0SYinan Xu
280*8b8e745dSYikeZhou    Seq((intRat, false), (fpRat, true)) foreach { case (rat, fp) =>
281*8b8e745dSYikeZhou      // is valid commit req and given instruction has destination register
28200ad41d0SYinan Xu      val commitDestValid = io.roqCommits.valid(i) && needDestRegCommit(fp, io.roqCommits.info(i))
283*8b8e745dSYikeZhou      XSDebug(p"isFp[${fp}]index[$i]-commitDestValid:$commitDestValid,isWalk:${io.roqCommits.isWalk}\n")
284*8b8e745dSYikeZhou
285*8b8e745dSYikeZhou      /*
286*8b8e745dSYikeZhou      I. RAT Update
287*8b8e745dSYikeZhou       */
288*8b8e745dSYikeZhou
289*8b8e745dSYikeZhou      // walk back write - restore spec state : ldest => old_pdest
290*8b8e745dSYikeZhou      if (fp && i < RenameWidth) {
291*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wen := (commitDestValid && io.roqCommits.isWalk) || fpSpecWen(i)
292*8b8e745dSYikeZhou        rat.io.specWritePorts(i).addr := Mux(fpSpecWen(i), uops(i).ctrl.ldest, io.roqCommits.info(i).ldest)
293*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wdata := Mux(fpSpecWen(i), fpFreeList.io.req.pdests(i), io.roqCommits.info(i).old_pdest)
294*8b8e745dSYikeZhou      } else if (!fp && i < RenameWidth) {
295*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wen := (commitDestValid && io.roqCommits.isWalk) || intSpecWen(i)
296*8b8e745dSYikeZhou        rat.io.specWritePorts(i).addr := Mux(intSpecWen(i), uops(i).ctrl.ldest, io.roqCommits.info(i).ldest)
297*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wdata := Mux(intSpecWen(i), Mux(meEnable(i), uops(i).psrc(0), intFreeList.io.inc.pdests(i)), io.roqCommits.info(i).old_pdest)
298*8b8e745dSYikeZhou      } else if (fp && i >= RenameWidth) {
299*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wen := commitDestValid && io.roqCommits.isWalk
300*8b8e745dSYikeZhou        rat.io.specWritePorts(i).addr := io.roqCommits.info(i).ldest
301*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wdata := io.roqCommits.info(i).old_pdest
302*8b8e745dSYikeZhou      } else if (!fp && i >= RenameWidth) {
303*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wen := commitDestValid && io.roqCommits.isWalk
304*8b8e745dSYikeZhou        rat.io.specWritePorts(i).addr := io.roqCommits.info(i).ldest
305*8b8e745dSYikeZhou        rat.io.specWritePorts(i).wdata := io.roqCommits.info(i).old_pdest
306*8b8e745dSYikeZhou      }
30700ad41d0SYinan Xu
30800ad41d0SYinan Xu      when (commitDestValid && io.roqCommits.isWalk) {
309*8b8e745dSYikeZhou        XSInfo({if(fp) p"[fp" else p"[int"} + p" walk] " +
310*8b8e745dSYikeZhou          p"ldest:${rat.io.specWritePorts(i).addr} -> old_pdest:${rat.io.specWritePorts(i).wdata}\n")
31100ad41d0SYinan Xu      }
31200ad41d0SYinan Xu
313*8b8e745dSYikeZhou      // normal write - update arch state (serve as initialization)
314*8b8e745dSYikeZhou      rat.io.archWritePorts(i).wen := commitDestValid && !io.roqCommits.isWalk
315*8b8e745dSYikeZhou      rat.io.archWritePorts(i).addr := io.roqCommits.info(i).ldest
316*8b8e745dSYikeZhou      rat.io.archWritePorts(i).wdata := io.roqCommits.info(i).pdest
31700ad41d0SYinan Xu
318*8b8e745dSYikeZhou      XSInfo(rat.io.archWritePorts(i).wen,
319*8b8e745dSYikeZhou        {if(fp) p"[fp" else p"[int"} + p" arch rat update] ldest:${rat.io.archWritePorts(i).addr} ->" +
320*8b8e745dSYikeZhou        p" pdest:${rat.io.archWritePorts(i).wdata}\n"
32100ad41d0SYinan Xu      )
32200ad41d0SYinan Xu
323*8b8e745dSYikeZhou
324*8b8e745dSYikeZhou      /*
325*8b8e745dSYikeZhou      II. Free List Update
326*8b8e745dSYikeZhou       */
327*8b8e745dSYikeZhou
328*8b8e745dSYikeZhou      if (fp) { // Float Point free list
329*8b8e745dSYikeZhou        fpFreeList.io.deallocReqs(i)  := commitDestValid && !io.roqCommits.isWalk
330*8b8e745dSYikeZhou        fpFreeList.io.deallocPregs(i) := io.roqCommits.info(i).old_pdest
331*8b8e745dSYikeZhou      } else { // Integer free list
332*8b8e745dSYikeZhou
333*8b8e745dSYikeZhou        // during walk process:
334*8b8e745dSYikeZhou        // 1. for normal inst, free pdest + revert rat from ldest->pdest to ldest->old_pdest
335*8b8e745dSYikeZhou        // 2. for ME inst, free pdest(commit counter++) + revert rat
336*8b8e745dSYikeZhou
337*8b8e745dSYikeZhou        // conclusion:
338*8b8e745dSYikeZhou        // a. rat recovery has nothing to do with ME or not
339*8b8e745dSYikeZhou        // b. treat walk as normal commit except replace old_pdests with pdests and set io.walk to true
340*8b8e745dSYikeZhou        // c. ignore pdests port when walking
341*8b8e745dSYikeZhou
342*8b8e745dSYikeZhou        intFreeList.io.dec.req(i) := commitDestValid // walk or not walk
343*8b8e745dSYikeZhou        intFreeList.io.dec.old_pdests(i)  := Mux(io.roqCommits.isWalk, io.roqCommits.info(i).pdest, io.roqCommits.info(i).old_pdest)
344*8b8e745dSYikeZhou        intFreeList.io.dec.eliminatedMove(i) := io.roqCommits.info(i).eliminatedMove
345*8b8e745dSYikeZhou        intFreeList.io.dec.pdests(i) := io.roqCommits.info(i).pdest
34600ad41d0SYinan Xu      }
34700ad41d0SYinan Xu    }
348*8b8e745dSYikeZhou  }
349*8b8e745dSYikeZhou
350*8b8e745dSYikeZhou
351*8b8e745dSYikeZhou  /*
352*8b8e745dSYikeZhou  Debug and performance counter
353*8b8e745dSYikeZhou   */
354*8b8e745dSYikeZhou
355*8b8e745dSYikeZhou  def printRenameInfo(in: DecoupledIO[CfCtrl], out: DecoupledIO[MicroOp]) = {
356*8b8e745dSYikeZhou    XSInfo(
357*8b8e745dSYikeZhou      in.valid && in.ready,
358*8b8e745dSYikeZhou      p"pc:${Hexadecimal(in.bits.cf.pc)} in v:${in.valid} in rdy:${in.ready} " +
359*8b8e745dSYikeZhou        p"lsrc(0):${in.bits.ctrl.lsrc(0)} -> psrc(0):${out.bits.psrc(0)} " +
360*8b8e745dSYikeZhou        p"lsrc(1):${in.bits.ctrl.lsrc(1)} -> psrc(1):${out.bits.psrc(1)} " +
361*8b8e745dSYikeZhou        p"lsrc(2):${in.bits.ctrl.lsrc(2)} -> psrc(2):${out.bits.psrc(2)} " +
362*8b8e745dSYikeZhou        p"ldest:${in.bits.ctrl.ldest} -> pdest:${out.bits.pdest} " +
363*8b8e745dSYikeZhou        p"old_pdest:${out.bits.old_pdest} " +
364*8b8e745dSYikeZhou        p"out v:${out.valid} r:${out.ready}\n"
365*8b8e745dSYikeZhou    )
366*8b8e745dSYikeZhou  }
367*8b8e745dSYikeZhou
368*8b8e745dSYikeZhou  for((x,y) <- io.in.zip(io.out)){
369*8b8e745dSYikeZhou    printRenameInfo(x, y)
370*8b8e745dSYikeZhou  }
371*8b8e745dSYikeZhou
372*8b8e745dSYikeZhou  XSDebug(io.roqCommits.isWalk, p"Walk Recovery Enabled\n")
373*8b8e745dSYikeZhou  XSDebug(io.roqCommits.isWalk, p"validVec:${Binary(io.roqCommits.valid.asUInt)}\n")
374*8b8e745dSYikeZhou  for (i <- 0 until CommitWidth) {
375*8b8e745dSYikeZhou    val info = io.roqCommits.info(i)
376*8b8e745dSYikeZhou    XSDebug(io.roqCommits.isWalk && io.roqCommits.valid(i), p"[#$i walk info] pc:${Hexadecimal(info.pc)} " +
377*8b8e745dSYikeZhou      p"ldest:${info.ldest} rfWen:${info.rfWen} fpWen:${info.fpWen} eliminatedMove:${info.eliminatedMove} " +
378*8b8e745dSYikeZhou      p"pdest:${info.pdest} old_pdest:${info.old_pdest}\n")
379*8b8e745dSYikeZhou  }
380*8b8e745dSYikeZhou
381*8b8e745dSYikeZhou  XSDebug(p"inValidVec: ${Binary(Cat(io.in.map(_.valid)))}\n")
382*8b8e745dSYikeZhou  XSInfo(!canOut, p"stall at rename, hasValid:${hasValid}, fpCanAlloc:${fpFreeList.io.req.canAlloc}, intCanAlloc:${intFreeList.io.inc.canInc} dispatch1ready:${io.out(0).ready}, isWalk:${io.roqCommits.isWalk}\n")
383*8b8e745dSYikeZhou  XSInfo(meEnable.asUInt().orR(), p"meEnableVec:${Binary(meEnable.asUInt)}\n")
384*8b8e745dSYikeZhou
385*8b8e745dSYikeZhou  intRat.io.debug_rdata <> io.debug_int_rat
386*8b8e745dSYikeZhou  fpRat.io.debug_rdata <> io.debug_fp_rat
387*8b8e745dSYikeZhou
388*8b8e745dSYikeZhou  XSDebug(p"Arch Int RAT:" + io.debug_int_rat.zipWithIndex.map{ case (r, i) => p"#$i:$r " }.reduceLeft(_ + _) + p"\n")
389d479a3a8SYinan Xu
390408a32b7SAllen  XSPerfAccumulate("in", Mux(RegNext(io.in(0).ready), PopCount(io.in.map(_.valid)), 0.U))
391408a32b7SAllen  XSPerfAccumulate("utilization", PopCount(io.in.map(_.valid)))
392408a32b7SAllen  XSPerfAccumulate("waitInstr", PopCount((0 until RenameWidth).map(i => io.in(i).valid && !io.in(i).ready)))
393*8b8e745dSYikeZhou  XSPerfAccumulate("stall_cycle_dispatch", hasValid && !io.out(0).ready && fpFreeList.io.req.canAlloc && intFreeList.io.inc.canInc && !io.roqCommits.isWalk)
394*8b8e745dSYikeZhou  XSPerfAccumulate("stall_cycle_fp", hasValid && io.out(0).ready && !fpFreeList.io.req.canAlloc && intFreeList.io.inc.canInc && !io.roqCommits.isWalk)
395*8b8e745dSYikeZhou  XSPerfAccumulate("stall_cycle_int", hasValid && io.out(0).ready && fpFreeList.io.req.canAlloc && !intFreeList.io.inc.canInc && !io.roqCommits.isWalk)
396*8b8e745dSYikeZhou  XSPerfAccumulate("stall_cycle_walk", hasValid && io.out(0).ready && fpFreeList.io.req.canAlloc && intFreeList.io.inc.canInc && io.roqCommits.isWalk)
397b034d3b9SLinJiawei}
398