xref: /XiangShan/src/main/scala/xiangshan/backend/rename/Rename.scala (revision 7fa2c198f1e99afdc20903de4fd3323056e5642f)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
175844fcf0SLinJiaweipackage xiangshan.backend.rename
185844fcf0SLinJiawei
192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
205844fcf0SLinJiaweiimport chisel3._
215844fcf0SLinJiaweiimport chisel3.util._
225844fcf0SLinJiaweiimport xiangshan._
237cef916fSYinan Xuimport utils._
249aca92b9SYinan Xuimport xiangshan.backend.rob.RobPtr
25049559e7SYinan Xuimport xiangshan.backend.dispatch.PreDispatchInfo
265844fcf0SLinJiawei
272225d46eSJiawei Linclass RenameBypassInfo(implicit p: Parameters) extends XSBundle {
2899b8dc2cSYinan Xu  val lsrc1_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W)))
2999b8dc2cSYinan Xu  val lsrc2_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W)))
3099b8dc2cSYinan Xu  val lsrc3_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W)))
3199b8dc2cSYinan Xu  val ldest_bypass = MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W)))
3299b8dc2cSYinan Xu}
3399b8dc2cSYinan Xu
3439d3280eSYikeZhouclass Rename(implicit p: Parameters) extends XSModule {
355844fcf0SLinJiawei  val io = IO(new Bundle() {
365844fcf0SLinJiawei    val redirect = Flipped(ValidIO(new Redirect))
372d7c7105SYinan Xu    val flush = Input(Bool())
389aca92b9SYinan Xu    val robCommits = Flipped(new RobCommitIO)
39*7fa2c198SYinan Xu    // from decode
409a2e6b8aSLinJiawei    val in = Vec(RenameWidth, Flipped(DecoupledIO(new CfCtrl)))
41*7fa2c198SYinan Xu    // to rename table
42*7fa2c198SYinan Xu    val intReadPorts = Vec(RenameWidth, Vec(3, Input(UInt(PhyRegIdxWidth.W))))
43*7fa2c198SYinan Xu    val fpReadPorts = Vec(RenameWidth, Vec(4, Input(UInt(PhyRegIdxWidth.W))))
44*7fa2c198SYinan Xu    val intRenamePorts = Vec(RenameWidth, Output(new RatWritePort))
45*7fa2c198SYinan Xu    val fpRenamePorts = Vec(RenameWidth, Output(new RatWritePort))
4657c4f8d6SLinJiawei    // to dispatch1
479a2e6b8aSLinJiawei    val out = Vec(RenameWidth, DecoupledIO(new MicroOp))
4899b8dc2cSYinan Xu    val renameBypass = Output(new RenameBypassInfo)
49049559e7SYinan Xu    val dispatchInfo = Output(new PreDispatchInfo)
505844fcf0SLinJiawei  })
51b034d3b9SLinJiawei
528b8e745dSYikeZhou  // create free list and rat
53*7fa2c198SYinan Xu  val intFreeList = Module(new freelist.MEFreeList)
5439d3280eSYikeZhou  val fpFreeList = Module(new freelist.StdFreeList)
558b8e745dSYikeZhou
569aca92b9SYinan Xu  // decide if given instruction needs allocating a new physical register (CfCtrl: from decode; RobCommitInfo: from rob)
57b034d3b9SLinJiawei  def needDestReg[T <: CfCtrl](fp: Boolean, x: T): Bool = {
58b034d3b9SLinJiawei    {if(fp) x.ctrl.fpWen else x.ctrl.rfWen && (x.ctrl.ldest =/= 0.U)}
59b034d3b9SLinJiawei  }
609aca92b9SYinan Xu  def needDestRegCommit[T <: RobCommitInfo](fp: Boolean, x: T): Bool = {
61fe6452fcSYinan Xu    {if(fp) x.fpWen else x.rfWen && (x.ldest =/= 0.U)}
62fe6452fcSYinan Xu  }
638b8e745dSYikeZhou
645eb4af5bSYikeZhou  // connect [flush + redirect + walk] ports for __float point__ & __integer__ free list
655eb4af5bSYikeZhou  Seq((fpFreeList, true), (intFreeList, false)).foreach{ case (fl, isFp) =>
665eb4af5bSYikeZhou    fl.flush := io.flush
675eb4af5bSYikeZhou    fl.redirect := io.redirect.valid
689aca92b9SYinan Xu    fl.walk := io.robCommits.isWalk
695eb4af5bSYikeZhou    // when isWalk, use stepBack to restore head pointer of free list
705eb4af5bSYikeZhou    // (if ME enabled, stepBack of intFreeList should be useless thus optimized out)
719aca92b9SYinan Xu    fl.stepBack := PopCount(io.robCommits.valid.zip(io.robCommits.info).map{case (v, i) => v && needDestRegCommit(isFp, i)})
724efb89cbSYikeZhou  }
735eb4af5bSYikeZhou  // walk has higher priority than allocation and thus we don't use isWalk here
745eb4af5bSYikeZhou  // only when both fp and int free list and dispatch1 has enough space can we do allocation
754efb89cbSYikeZhou  intFreeList.doAllocate := fpFreeList.canAllocate && io.out(0).ready
764efb89cbSYikeZhou  fpFreeList.doAllocate := intFreeList.canAllocate && io.out(0).ready
775eb4af5bSYikeZhou
785eb4af5bSYikeZhou  //           dispatch1 ready ++ float point free list ready ++ int free list ready      ++ not walk
799aca92b9SYinan Xu  val canOut = io.out(0).ready && fpFreeList.canAllocate && intFreeList.canAllocate && !io.robCommits.isWalk
805eb4af5bSYikeZhou
81b034d3b9SLinJiawei
829aca92b9SYinan Xu  // speculatively assign the instruction with an robIdx
839aca92b9SYinan Xu  val validCount = PopCount(io.in.map(_.valid)) // number of instructions waiting to enter rob (from decode)
849aca92b9SYinan Xu  val robIdxHead = RegInit(0.U.asTypeOf(new RobPtr))
858f77f081SYinan Xu  val lastCycleMisprediction = RegNext(io.redirect.valid && !io.redirect.bits.flushItself())
869aca92b9SYinan Xu  val robIdxHeadNext = Mux(io.flush, 0.U.asTypeOf(new RobPtr), // flush: clear rob
879aca92b9SYinan Xu              Mux(io.redirect.valid, io.redirect.bits.robIdx, // redirect: move ptr to given rob index (flush itself)
889aca92b9SYinan Xu         Mux(lastCycleMisprediction, robIdxHead + 1.U, // mis-predict: not flush robIdx itself
899aca92b9SYinan Xu                         Mux(canOut, robIdxHead + validCount, // instructions successfully entered next stage: increase robIdx
909aca92b9SYinan Xu                      /* default */  robIdxHead)))) // no instructions passed by this cycle: stick to old value
919aca92b9SYinan Xu  robIdxHead := robIdxHeadNext
92588ceab5SYinan Xu
9300ad41d0SYinan Xu  /**
9400ad41d0SYinan Xu    * Rename: allocate free physical register and update rename table
9500ad41d0SYinan Xu    */
96b034d3b9SLinJiawei  val uops = Wire(Vec(RenameWidth, new MicroOp))
97b034d3b9SLinJiawei  uops.foreach( uop => {
9820e31bd1SYinan Xu    uop.srcState(0) := DontCare
9920e31bd1SYinan Xu    uop.srcState(1) := DontCare
10020e31bd1SYinan Xu    uop.srcState(2) := DontCare
1019aca92b9SYinan Xu    uop.robIdx := DontCare
1026ae7ac7cSAllen    uop.diffTestDebugLrScValid := DontCare
1037cef916fSYinan Xu    uop.debugInfo := DontCare
104bc86598fSWilliam Wang    uop.lqIdx := DontCare
105bc86598fSWilliam Wang    uop.sqIdx := DontCare
106b034d3b9SLinJiawei  })
107b034d3b9SLinJiawei
10899b8dc2cSYinan Xu  val needFpDest = Wire(Vec(RenameWidth, Bool()))
10999b8dc2cSYinan Xu  val needIntDest = Wire(Vec(RenameWidth, Bool()))
110b424051cSYinan Xu  val hasValid = Cat(io.in.map(_.valid)).orR
1118b8e745dSYikeZhou
1128b8e745dSYikeZhou  val isMove = io.in.map(_.bits.ctrl.isMove)
113*7fa2c198SYinan Xu  val isMax = intFreeList.maxVec
1148b8e745dSYikeZhou  val meEnable = WireInit(VecInit(Seq.fill(RenameWidth)(false.B)))
1158b8e745dSYikeZhou  val psrc_cmp = Wire(MixedVec(List.tabulate(RenameWidth-1)(i => UInt((i+1).W))))
1160153cd55SYikeZhou  val intPsrc = Wire(Vec(RenameWidth, UInt()))
1178b8e745dSYikeZhou
1188b8e745dSYikeZhou  val intSpecWen = Wire(Vec(RenameWidth, Bool()))
1198b8e745dSYikeZhou  val fpSpecWen = Wire(Vec(RenameWidth, Bool()))
1208b8e745dSYikeZhou
1218b8e745dSYikeZhou  // uop calculation
122b034d3b9SLinJiawei  for (i <- 0 until RenameWidth) {
123b034d3b9SLinJiawei    uops(i).cf := io.in(i).bits.cf
124b034d3b9SLinJiawei    uops(i).ctrl := io.in(i).bits.ctrl
125b034d3b9SLinJiawei
126567096a6Slinjiawei    val inValid = io.in(i).valid
1272dcb2daaSLinJiawei
128b034d3b9SLinJiawei    // alloc a new phy reg
12999b8dc2cSYinan Xu    needFpDest(i) := inValid && needDestReg(fp = true, io.in(i).bits)
13099b8dc2cSYinan Xu    needIntDest(i) := inValid && needDestReg(fp = false, io.in(i).bits)
13139d3280eSYikeZhou    fpFreeList.allocateReq(i) := needFpDest(i)
13239d3280eSYikeZhou    intFreeList.allocateReq(i) := needIntDest(i)
1332438f9ebSYinan Xu
1348b8e745dSYikeZhou    // no valid instruction from decode stage || all resources (dispatch1 + both free lists) ready
135b424051cSYinan Xu    io.in(i).ready := !hasValid || canOut
13658e06390SLinJiawei
137c7054babSLinJiawei    // do checkpoints when a branch inst come
1384f787118SYinan Xu    // for(fl <- Seq(fpFreeList, intFreeList)){
1394f787118SYinan Xu    //   fl.cpReqs(i).valid := inValid
1404f787118SYinan Xu    //   fl.cpReqs(i).bits := io.in(i).bits.brTag
1414f787118SYinan Xu    // }
14258e06390SLinJiawei
1439aca92b9SYinan Xu    uops(i).robIdx := robIdxHead + PopCount(io.in.take(i).map(_.valid))
144588ceab5SYinan Xu
145*7fa2c198SYinan Xu    val intPhySrcVec = io.intReadPorts(i).take(2)
146*7fa2c198SYinan Xu    val intOldPdest = io.intReadPorts(i).last
1470153cd55SYikeZhou    intPsrc(i) := intPhySrcVec(0)
148*7fa2c198SYinan Xu    val fpPhySrcVec = io.fpReadPorts(i).take(3)
149*7fa2c198SYinan Xu    val fpOldPdest = io.fpReadPorts(i).last
15020e31bd1SYinan Xu    uops(i).psrc(0) := Mux(uops(i).ctrl.srcType(0) === SrcType.reg, intPhySrcVec(0), fpPhySrcVec(0))
15120e31bd1SYinan Xu    uops(i).psrc(1) := Mux(uops(i).ctrl.srcType(1) === SrcType.reg, intPhySrcVec(1), fpPhySrcVec(1))
15220e31bd1SYinan Xu    uops(i).psrc(2) := fpPhySrcVec(2)
153b034d3b9SLinJiawei    uops(i).old_pdest := Mux(uops(i).ctrl.rfWen, intOldPdest, fpOldPdest)
1548b8e745dSYikeZhou
1558b8e745dSYikeZhou    if (i == 0) {
1568b8e745dSYikeZhou      // calculate meEnable
157*7fa2c198SYinan Xu      meEnable(i) := isMove(i) && (!isMax(intPsrc(i)) || uops(i).ctrl.lsrc(0) === 0.U)
1588b8e745dSYikeZhou    } else {
1598b8e745dSYikeZhou      // compare psrc0
1608b8e745dSYikeZhou      psrc_cmp(i-1) := Cat((0 until i).map(j => {
1610153cd55SYikeZhou        intPsrc(i) === intPsrc(j) && io.in(i).bits.ctrl.isMove && io.in(j).bits.ctrl.isMove
1628b8e745dSYikeZhou      }) /* reverse is not necessary here */)
1638b8e745dSYikeZhou
1648b8e745dSYikeZhou      // calculate meEnable
165*7fa2c198SYinan Xu      meEnable(i) := isMove(i) && (!(io.renameBypass.lsrc1_bypass(i-1).orR | psrc_cmp(i-1).orR | isMax(intPsrc(i))) || uops(i).ctrl.lsrc(0) === 0.U)
1668b8e745dSYikeZhou    }
16773c4359eSYikeZhou    uops(i).eliminatedMove := meEnable(i) || (uops(i).ctrl.isMove && uops(i).ctrl.ldest === 0.U)
1688b8e745dSYikeZhou
1698b8e745dSYikeZhou    // send psrc of eliminated move instructions to free list and label them as eliminated
170*7fa2c198SYinan Xu    intFreeList.psrcOfMove(i).valid := meEnable(i)
171*7fa2c198SYinan Xu    intFreeList.psrcOfMove(i).bits := intPsrc(i)
1728b8e745dSYikeZhou
1738b8e745dSYikeZhou    // update pdest
1740153cd55SYikeZhou    uops(i).pdest := Mux(meEnable(i), intPsrc(i), // move eliminated
17539d3280eSYikeZhou                     Mux(needIntDest(i), intFreeList.allocatePhyReg(i), // normal int inst
1768b8e745dSYikeZhou                     Mux(uops(i).ctrl.ldest===0.U && uops(i).ctrl.rfWen, 0.U // int inst with dst=r0
17739d3280eSYikeZhou                     /* default */, fpFreeList.allocatePhyReg(i)))) // normal fp inst
1788b8e745dSYikeZhou
179ebb8ebf8SYinan Xu    // Assign performance counters
180ebb8ebf8SYinan Xu    uops(i).debugInfo.renameTime := GTimer()
181ebb8ebf8SYinan Xu
1829aca92b9SYinan Xu    io.out(i).valid := io.in(i).valid && intFreeList.canAllocate && fpFreeList.canAllocate && !io.robCommits.isWalk
183ebb8ebf8SYinan Xu    io.out(i).bits := uops(i)
184ebb8ebf8SYinan Xu
1858b8e745dSYikeZhou    // write speculative rename table
18639d3280eSYikeZhou    // we update rat later inside commit code
1879aca92b9SYinan Xu    intSpecWen(i) := intFreeList.allocateReq(i) && intFreeList.canAllocate && intFreeList.doAllocate && !io.robCommits.isWalk
1889aca92b9SYinan Xu    fpSpecWen(i) := fpFreeList.allocateReq(i) && fpFreeList.canAllocate && fpFreeList.doAllocate && !io.robCommits.isWalk
189b034d3b9SLinJiawei  }
190b034d3b9SLinJiawei
19199b8dc2cSYinan Xu  // We don't bypass the old_pdest from valid instructions with the same ldest currently in rename stage.
1928b8e745dSYikeZhou  // Instead, we determine whether there're some dependencies between the valid instructions.
19399b8dc2cSYinan Xu  for (i <- 1 until RenameWidth) {
19499b8dc2cSYinan Xu    io.renameBypass.lsrc1_bypass(i-1) := Cat((0 until i).map(j => {
19520e31bd1SYinan Xu      val fpMatch  = needFpDest(j) && io.in(i).bits.ctrl.srcType(0) === SrcType.fp
19620e31bd1SYinan Xu      val intMatch = needIntDest(j) && io.in(i).bits.ctrl.srcType(0) === SrcType.reg
19720e31bd1SYinan Xu      (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.lsrc(0)
19899b8dc2cSYinan Xu    }).reverse)
19999b8dc2cSYinan Xu    io.renameBypass.lsrc2_bypass(i-1) := Cat((0 until i).map(j => {
20020e31bd1SYinan Xu      val fpMatch  = needFpDest(j) && io.in(i).bits.ctrl.srcType(1) === SrcType.fp
20120e31bd1SYinan Xu      val intMatch = needIntDest(j) && io.in(i).bits.ctrl.srcType(1) === SrcType.reg
20220e31bd1SYinan Xu      (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.lsrc(1)
20399b8dc2cSYinan Xu    }).reverse)
20499b8dc2cSYinan Xu    io.renameBypass.lsrc3_bypass(i-1) := Cat((0 until i).map(j => {
20520e31bd1SYinan Xu      val fpMatch  = needFpDest(j) && io.in(i).bits.ctrl.srcType(2) === SrcType.fp
20620e31bd1SYinan Xu      val intMatch = needIntDest(j) && io.in(i).bits.ctrl.srcType(2) === SrcType.reg
20720e31bd1SYinan Xu      (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.lsrc(2)
20899b8dc2cSYinan Xu    }).reverse)
20999b8dc2cSYinan Xu    io.renameBypass.ldest_bypass(i-1) := Cat((0 until i).map(j => {
21099b8dc2cSYinan Xu      val fpMatch  = needFpDest(j) && needFpDest(i)
21199b8dc2cSYinan Xu      val intMatch = needIntDest(j) && needIntDest(i)
21299b8dc2cSYinan Xu      (fpMatch || intMatch) && io.in(j).bits.ctrl.ldest === io.in(i).bits.ctrl.ldest
21399b8dc2cSYinan Xu    }).reverse)
214b034d3b9SLinJiawei  }
21500ad41d0SYinan Xu
2168b8e745dSYikeZhou  // calculate lsq space requirement
217049559e7SYinan Xu  val isLs    = VecInit(uops.map(uop => FuType.isLoadStore(uop.ctrl.fuType)))
218049559e7SYinan Xu  val isStore = VecInit(uops.map(uop => FuType.isStoreExu(uop.ctrl.fuType)))
219049559e7SYinan Xu  val isAMO   = VecInit(uops.map(uop => FuType.isAMO(uop.ctrl.fuType)))
220049559e7SYinan Xu  io.dispatchInfo.lsqNeedAlloc := VecInit((0 until RenameWidth).map(i =>
221049559e7SYinan Xu    Mux(isLs(i), Mux(isStore(i) && !isAMO(i), 2.U, 1.U), 0.U)))
222049559e7SYinan Xu
22300ad41d0SYinan Xu  /**
22400ad41d0SYinan Xu    * Instructions commit: update freelist and rename table
22500ad41d0SYinan Xu    */
22600ad41d0SYinan Xu  for (i <- 0 until CommitWidth) {
22700ad41d0SYinan Xu
228*7fa2c198SYinan Xu    Seq((io.intRenamePorts, false), (io.fpRenamePorts, true)) foreach { case (rat, fp) =>
2298b8e745dSYikeZhou      // is valid commit req and given instruction has destination register
2309aca92b9SYinan Xu      val commitDestValid = io.robCommits.valid(i) && needDestRegCommit(fp, io.robCommits.info(i))
2319aca92b9SYinan Xu      XSDebug(p"isFp[${fp}]index[$i]-commitDestValid:$commitDestValid,isWalk:${io.robCommits.isWalk}\n")
2328b8e745dSYikeZhou
2338b8e745dSYikeZhou      /*
2348b8e745dSYikeZhou      I. RAT Update
2358b8e745dSYikeZhou       */
2368b8e745dSYikeZhou
2378b8e745dSYikeZhou      // walk back write - restore spec state : ldest => old_pdest
2388b8e745dSYikeZhou      if (fp && i < RenameWidth) {
239*7fa2c198SYinan Xu        // When redirect happens (mis-prediction), don't update the rename table
240*7fa2c198SYinan Xu        rat(i).wen := fpSpecWen(i) && !io.flush && !io.redirect.valid
241*7fa2c198SYinan Xu        rat(i).addr := uops(i).ctrl.ldest
242*7fa2c198SYinan Xu        rat(i).data := fpFreeList.allocatePhyReg(i)
2438b8e745dSYikeZhou      } else if (!fp && i < RenameWidth) {
244*7fa2c198SYinan Xu        rat(i).wen := intSpecWen(i) && !io.flush && !io.redirect.valid
245*7fa2c198SYinan Xu        rat(i).addr := uops(i).ctrl.ldest
246*7fa2c198SYinan Xu        rat(i).data := Mux(meEnable(i), intPsrc(i), intFreeList.allocatePhyReg(i))
24739d3280eSYikeZhou      }
2488b8e745dSYikeZhou
2498b8e745dSYikeZhou      /*
2508b8e745dSYikeZhou      II. Free List Update
2518b8e745dSYikeZhou       */
2528b8e745dSYikeZhou      if (fp) { // Float Point free list
2539aca92b9SYinan Xu        fpFreeList.freeReq(i)  := commitDestValid && !io.robCommits.isWalk
2549aca92b9SYinan Xu        fpFreeList.freePhyReg(i) := io.robCommits.info(i).old_pdest
255*7fa2c198SYinan Xu      } else { // Integer free list
2568b8e745dSYikeZhou
2578b8e745dSYikeZhou        // during walk process:
2588b8e745dSYikeZhou        // 1. for normal inst, free pdest + revert rat from ldest->pdest to ldest->old_pdest
2598b8e745dSYikeZhou        // 2. for ME inst, free pdest(commit counter++) + revert rat
2608b8e745dSYikeZhou
2618b8e745dSYikeZhou        // conclusion:
2628b8e745dSYikeZhou        // a. rat recovery has nothing to do with ME or not
2638b8e745dSYikeZhou        // b. treat walk as normal commit except replace old_pdests with pdests and set io.walk to true
2648b8e745dSYikeZhou        // c. ignore pdests port when walking
2658b8e745dSYikeZhou
26639d3280eSYikeZhou        intFreeList.freeReq(i) := commitDestValid // walk or not walk
2679aca92b9SYinan Xu        intFreeList.freePhyReg(i)  := Mux(io.robCommits.isWalk, io.robCommits.info(i).pdest, io.robCommits.info(i).old_pdest)
268*7fa2c198SYinan Xu        intFreeList.eliminatedMove(i) := io.robCommits.info(i).eliminatedMove
269*7fa2c198SYinan Xu        intFreeList.multiRefPhyReg(i) := io.robCommits.info(i).pdest
27000ad41d0SYinan Xu      }
27100ad41d0SYinan Xu    }
2728b8e745dSYikeZhou  }
2738b8e745dSYikeZhou
2748b8e745dSYikeZhou
2758b8e745dSYikeZhou  /*
2768b8e745dSYikeZhou  Debug and performance counter
2778b8e745dSYikeZhou   */
2788b8e745dSYikeZhou
2798b8e745dSYikeZhou  def printRenameInfo(in: DecoupledIO[CfCtrl], out: DecoupledIO[MicroOp]) = {
2808b8e745dSYikeZhou    XSInfo(
2818b8e745dSYikeZhou      in.valid && in.ready,
2828b8e745dSYikeZhou      p"pc:${Hexadecimal(in.bits.cf.pc)} in v:${in.valid} in rdy:${in.ready} " +
2838b8e745dSYikeZhou        p"lsrc(0):${in.bits.ctrl.lsrc(0)} -> psrc(0):${out.bits.psrc(0)} " +
2848b8e745dSYikeZhou        p"lsrc(1):${in.bits.ctrl.lsrc(1)} -> psrc(1):${out.bits.psrc(1)} " +
2858b8e745dSYikeZhou        p"lsrc(2):${in.bits.ctrl.lsrc(2)} -> psrc(2):${out.bits.psrc(2)} " +
2868b8e745dSYikeZhou        p"ldest:${in.bits.ctrl.ldest} -> pdest:${out.bits.pdest} " +
2878b8e745dSYikeZhou        p"old_pdest:${out.bits.old_pdest} " +
2888b8e745dSYikeZhou        p"out v:${out.valid} r:${out.ready}\n"
2898b8e745dSYikeZhou    )
2908b8e745dSYikeZhou  }
2918b8e745dSYikeZhou
2928b8e745dSYikeZhou  for((x,y) <- io.in.zip(io.out)){
2938b8e745dSYikeZhou    printRenameInfo(x, y)
2948b8e745dSYikeZhou  }
2958b8e745dSYikeZhou
2969aca92b9SYinan Xu  XSDebug(io.robCommits.isWalk, p"Walk Recovery Enabled\n")
2979aca92b9SYinan Xu  XSDebug(io.robCommits.isWalk, p"validVec:${Binary(io.robCommits.valid.asUInt)}\n")
2988b8e745dSYikeZhou  for (i <- 0 until CommitWidth) {
2999aca92b9SYinan Xu    val info = io.robCommits.info(i)
3009aca92b9SYinan Xu    XSDebug(io.robCommits.isWalk && io.robCommits.valid(i), p"[#$i walk info] pc:${Hexadecimal(info.pc)} " +
301*7fa2c198SYinan Xu      p"ldest:${info.ldest} rfWen:${info.rfWen} fpWen:${info.fpWen} " + p"eliminatedMove:${info.eliminatedMove} " +
3028b8e745dSYikeZhou      p"pdest:${info.pdest} old_pdest:${info.old_pdest}\n")
3038b8e745dSYikeZhou  }
3048b8e745dSYikeZhou
3058b8e745dSYikeZhou  XSDebug(p"inValidVec: ${Binary(Cat(io.in.map(_.valid)))}\n")
3069aca92b9SYinan Xu  XSInfo(!canOut, p"stall at rename, hasValid:${hasValid}, fpCanAlloc:${fpFreeList.canAllocate}, intCanAlloc:${intFreeList.canAllocate} dispatch1ready:${io.out(0).ready}, isWalk:${io.robCommits.isWalk}\n")
3078b8e745dSYikeZhou
308408a32b7SAllen  XSPerfAccumulate("in", Mux(RegNext(io.in(0).ready), PopCount(io.in.map(_.valid)), 0.U))
309408a32b7SAllen  XSPerfAccumulate("utilization", PopCount(io.in.map(_.valid)))
310408a32b7SAllen  XSPerfAccumulate("waitInstr", PopCount((0 until RenameWidth).map(i => io.in(i).valid && !io.in(i).ready)))
3119aca92b9SYinan Xu  XSPerfAccumulate("stall_cycle_dispatch", hasValid && !io.out(0).ready && fpFreeList.canAllocate && intFreeList.canAllocate && !io.robCommits.isWalk)
3129aca92b9SYinan Xu  XSPerfAccumulate("stall_cycle_fp", hasValid && io.out(0).ready && !fpFreeList.canAllocate && intFreeList.canAllocate && !io.robCommits.isWalk)
3139aca92b9SYinan Xu  XSPerfAccumulate("stall_cycle_int", hasValid && io.out(0).ready && fpFreeList.canAllocate && !intFreeList.canAllocate && !io.robCommits.isWalk)
3149aca92b9SYinan Xu  XSPerfAccumulate("stall_cycle_walk", hasValid && io.out(0).ready && fpFreeList.canAllocate && intFreeList.canAllocate && io.robCommits.isWalk)
3151a2cf152SYinan Xu  if (!env.FPGAPlatform) {
3169aca92b9SYinan Xu    ExcitingUtils.addSource(io.robCommits.isWalk, "TMA_backendiswalk")
3171a2cf152SYinan Xu  }
3185eb4af5bSYikeZhou
319d3975becSYikeZhou  XSPerfAccumulate("move_instr_count", PopCount(Seq.tabulate(RenameWidth)(i => io.out(i).fire() && io.in(i).bits.ctrl.isMove)))
320d3975becSYikeZhou  XSPerfAccumulate("move_elim_enabled", PopCount(Seq.tabulate(RenameWidth)(i => io.out(i).fire() && meEnable(i))))
321d3975becSYikeZhou  XSPerfAccumulate("move_elim_cancelled", PopCount(Seq.tabulate(RenameWidth)(i => io.out(i).fire() && io.in(i).bits.ctrl.isMove && !meEnable(i))))
322d3975becSYikeZhou  XSPerfAccumulate("move_elim_cancelled_psrc_bypass", PopCount(Seq.tabulate(RenameWidth)(i => io.out(i).fire() && io.in(i).bits.ctrl.isMove && !meEnable(i) && { if (i == 0) false.B else io.renameBypass.lsrc1_bypass(i-1).orR })))
323*7fa2c198SYinan Xu  XSPerfAccumulate("move_elim_cancelled_cnt_limit", PopCount(Seq.tabulate(RenameWidth)(i => io.out(i).fire() && io.in(i).bits.ctrl.isMove && !meEnable(i) && isMax(io.out(i).bits.psrc(0)))))
324d3975becSYikeZhou  XSPerfAccumulate("move_elim_cancelled_inc_more_than_one", PopCount(Seq.tabulate(RenameWidth)(i => io.out(i).fire() && io.in(i).bits.ctrl.isMove && !meEnable(i) && { if (i == 0) false.B else psrc_cmp(i-1).orR })))
325d3975becSYikeZhou
326d3975becSYikeZhou  // to make sure meEnable functions as expected
327d3975becSYikeZhou  for (i <- 0 until RenameWidth) {
328*7fa2c198SYinan Xu    XSDebug(io.out(i).fire() && io.in(i).bits.ctrl.isMove && !meEnable(i) && isMax(io.out(i).bits.psrc(0)),
329d3975becSYikeZhou      p"ME_CANCELLED: ref counter hits max value (pc:0x${Hexadecimal(io.in(i).bits.cf.pc)})\n")
330d3975becSYikeZhou    XSDebug(io.out(i).fire() && io.in(i).bits.ctrl.isMove && !meEnable(i) && { if (i == 0) false.B else io.renameBypass.lsrc1_bypass(i-1).orR },
331d3975becSYikeZhou      p"ME_CANCELLED: RAW dependency (pc:0x${Hexadecimal(io.in(i).bits.cf.pc)})\n")
332d3975becSYikeZhou    XSDebug(io.out(i).fire() && io.in(i).bits.ctrl.isMove && !meEnable(i) && { if (i == 0) false.B else psrc_cmp(i-1).orR },
333d3975becSYikeZhou      p"ME_CANCELLED: psrc duplicates with former instruction (pc:0x${Hexadecimal(io.in(i).bits.cf.pc)})\n")
334d3975becSYikeZhou  }
335d3975becSYikeZhou  XSDebug(VecInit(Seq.tabulate(RenameWidth)(i => io.out(i).fire() && io.in(i).bits.ctrl.isMove && !meEnable(i))).asUInt().orR,
336d3975becSYikeZhou    p"ME_CANCELLED: pc group [ " + (0 until RenameWidth).map(i => p"fire:${io.out(i).fire()},pc:0x${Hexadecimal(io.in(i).bits.cf.pc)} ").reduceLeft(_ + _) + p"]\n")
3375eb4af5bSYikeZhou  XSInfo(meEnable.asUInt().orR(), p"meEnableVec:${Binary(meEnable.asUInt)}\n")
3385eb4af5bSYikeZhou}
339