15844fcf0SLinJiaweipackage xiangshan.backend.rename 25844fcf0SLinJiawei 35844fcf0SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 55844fcf0SLinJiaweiimport xiangshan._ 6c926d4c4SLinJiaweiimport utils.XSInfo 75844fcf0SLinJiawei 8b034d3b9SLinJiaweiclass Rename extends XSModule { 95844fcf0SLinJiawei val io = IO(new Bundle() { 105844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 115844fcf0SLinJiawei val roqCommits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit))) 126624015fSLinJiawei val wbIntResults = Vec(NRIntWritePorts, Flipped(ValidIO(new ExuOutput))) 136624015fSLinJiawei val wbFpResults = Vec(NRFpWritePorts, Flipped(ValidIO(new ExuOutput))) 146624015fSLinJiawei val intRfReadAddr = Vec(NRIntReadPorts + NRMemReadPorts, Input(UInt(PhyRegIdxWidth.W))) 156624015fSLinJiawei val fpRfReadAddr = Vec(NRFpReadPorts, Input(UInt(PhyRegIdxWidth.W))) 166624015fSLinJiawei val intPregRdy = Vec(NRIntReadPorts + NRMemReadPorts, Output(Bool())) 176624015fSLinJiawei val fpPregRdy = Vec(NRFpReadPorts, Output(Bool())) 1860deaca2SLinJiawei // set preg to busy when replay 1960deaca2SLinJiawei val replayPregReq = Vec(ReplayWidth, Input(new ReplayPregReq)) 2057c4f8d6SLinJiawei // from decode buffer 219a2e6b8aSLinJiawei val in = Vec(RenameWidth, Flipped(DecoupledIO(new CfCtrl))) 2257c4f8d6SLinJiawei // to dispatch1 239a2e6b8aSLinJiawei val out = Vec(RenameWidth, DecoupledIO(new MicroOp)) 245844fcf0SLinJiawei }) 25b034d3b9SLinJiawei 262e9d39e0SLinJiawei def printRenameInfo(in: DecoupledIO[CfCtrl], out: DecoupledIO[MicroOp]) = { 272e9d39e0SLinJiawei XSInfo( 28567096a6Slinjiawei in.valid && in.ready, 2958e06390SLinJiawei p"pc:${Hexadecimal(in.bits.cf.pc)} in v:${in.valid} in rdy:${in.ready} " + 302e9d39e0SLinJiawei p"lsrc1:${in.bits.ctrl.lsrc1} -> psrc1:${out.bits.psrc1} " + 312e9d39e0SLinJiawei p"lsrc2:${in.bits.ctrl.lsrc2} -> psrc2:${out.bits.psrc2} " + 322e9d39e0SLinJiawei p"lsrc3:${in.bits.ctrl.lsrc3} -> psrc3:${out.bits.psrc3} " + 332e9d39e0SLinJiawei p"ldest:${in.bits.ctrl.ldest} -> pdest:${out.bits.pdest} " + 34c7054babSLinJiawei p"old_pdest:${out.bits.old_pdest} " + 3558e06390SLinJiawei p"out v:${out.valid} r:${out.ready}\n" 362e9d39e0SLinJiawei ) 372e9d39e0SLinJiawei } 382e9d39e0SLinJiawei 392e9d39e0SLinJiawei for((x,y) <- io.in.zip(io.out)){ 402e9d39e0SLinJiawei printRenameInfo(x, y) 412e9d39e0SLinJiawei } 422e9d39e0SLinJiawei 43b034d3b9SLinJiawei val fpFreeList, intFreeList = Module(new FreeList).io 44b034d3b9SLinJiawei val fpRat = Module(new RenameTable(float = true)).io 45b034d3b9SLinJiawei val intRat = Module(new RenameTable(float = false)).io 468a1d27c4SLinJiawei val fpBusyTable = Module(new BusyTable(NRFpReadPorts, NRFpWritePorts)).io 478a1d27c4SLinJiawei val intBusyTable = Module(new BusyTable(NRIntReadPorts+NRMemReadPorts, NRIntWritePorts)).io 48b034d3b9SLinJiawei 493449c769SLinJiawei fpFreeList.redirect := io.redirect 50b034d3b9SLinJiawei intFreeList.redirect := io.redirect 51b034d3b9SLinJiawei 5245a56a29SZhangZifei val flush = io.redirect.valid && (io.redirect.bits.isException || io.redirect.bits.isFlushPipe) // TODO: need check by JiaWei 53b034d3b9SLinJiawei fpRat.flush := flush 54b034d3b9SLinJiawei intRat.flush := flush 55b034d3b9SLinJiawei fpBusyTable.flush := flush 56b034d3b9SLinJiawei intBusyTable.flush := flush 57b034d3b9SLinJiawei 58b034d3b9SLinJiawei def needDestReg[T <: CfCtrl](fp: Boolean, x: T): Bool = { 59b034d3b9SLinJiawei {if(fp) x.ctrl.fpWen else x.ctrl.rfWen && (x.ctrl.ldest =/= 0.U)} 60b034d3b9SLinJiawei } 61b034d3b9SLinJiawei 62b034d3b9SLinJiawei val uops = Wire(Vec(RenameWidth, new MicroOp)) 63b034d3b9SLinJiawei 64b034d3b9SLinJiawei uops.foreach( uop => { 650e9eef65SYinan Xu// uop.brMask := DontCare 660e9eef65SYinan Xu// uop.brTag := DontCare 67b034d3b9SLinJiawei uop.src1State := DontCare 68b034d3b9SLinJiawei uop.src2State := DontCare 69b034d3b9SLinJiawei uop.src3State := DontCare 70b034d3b9SLinJiawei uop.roqIdx := DontCare 71c105c2d3SYinan Xu uop.lsroqIdx := DontCare 72*6ae7ac7cSAllen uop.diffTestDebugLrScValid := DontCare 73b034d3b9SLinJiawei }) 74b034d3b9SLinJiawei 7521032341Slinjiawei var lastReady = WireInit(io.out(0).ready) 7621032341Slinjiawei // debug assert 7721032341Slinjiawei val outRdy = Cat(io.out.map(_.ready)) 7821032341Slinjiawei assert(outRdy===0.U || outRdy.andR()) 79b034d3b9SLinJiawei for(i <- 0 until RenameWidth) { 80b034d3b9SLinJiawei uops(i).cf := io.in(i).bits.cf 81b034d3b9SLinJiawei uops(i).ctrl := io.in(i).bits.ctrl 820e9eef65SYinan Xu uops(i).brTag := io.in(i).bits.brTag 83b034d3b9SLinJiawei 84567096a6Slinjiawei val inValid = io.in(i).valid 852dcb2daaSLinJiawei 86b034d3b9SLinJiawei // alloc a new phy reg 872dcb2daaSLinJiawei val needFpDest = inValid && needDestReg(fp = true, io.in(i).bits) 882dcb2daaSLinJiawei val needIntDest = inValid && needDestReg(fp = false, io.in(i).bits) 8921032341Slinjiawei fpFreeList.allocReqs(i) := needFpDest && lastReady 9021032341Slinjiawei intFreeList.allocReqs(i) := needIntDest && lastReady 91b034d3b9SLinJiawei val fpCanAlloc = fpFreeList.canAlloc(i) 92b034d3b9SLinJiawei val intCanAlloc = intFreeList.canAlloc(i) 933449c769SLinJiawei val this_can_alloc = Mux( 943449c769SLinJiawei needIntDest, 953449c769SLinJiawei intCanAlloc, 963449c769SLinJiawei Mux( 973449c769SLinJiawei needFpDest, 983449c769SLinJiawei fpCanAlloc, 993449c769SLinJiawei true.B 1003449c769SLinJiawei ) 1013449c769SLinJiawei ) 10221032341Slinjiawei io.in(i).ready := lastReady && this_can_alloc 10358e06390SLinJiawei 104c7054babSLinJiawei // do checkpoints when a branch inst come 105c7054babSLinJiawei for(fl <- Seq(fpFreeList, intFreeList)){ 106c7054babSLinJiawei fl.cpReqs(i).valid := inValid 107c7054babSLinJiawei fl.cpReqs(i).bits := io.in(i).bits.brTag 108c7054babSLinJiawei } 109c7054babSLinJiawei 11058e06390SLinJiawei lastReady = io.in(i).ready 11158e06390SLinJiawei 112c7054babSLinJiawei uops(i).pdest := Mux(needIntDest, 113c7054babSLinJiawei intFreeList.pdests(i), 114c7054babSLinJiawei Mux( 115c7054babSLinJiawei uops(i).ctrl.ldest===0.U && uops(i).ctrl.rfWen, 116c7054babSLinJiawei 0.U, fpFreeList.pdests(i) 117c7054babSLinJiawei ) 118c7054babSLinJiawei ) 119b034d3b9SLinJiawei 120b034d3b9SLinJiawei io.out(i).valid := io.in(i).fire() 121b034d3b9SLinJiawei io.out(i).bits := uops(i) 122b034d3b9SLinJiawei 123b034d3b9SLinJiawei // write rename table 124b034d3b9SLinJiawei def writeRat(fp: Boolean) = { 125b034d3b9SLinJiawei val rat = if(fp) fpRat else intRat 126b034d3b9SLinJiawei val freeList = if(fp) fpFreeList else intFreeList 127b034d3b9SLinJiawei val busyTable = if(fp) fpBusyTable else intBusyTable 128b034d3b9SLinJiawei // speculative inst write 129b034d3b9SLinJiawei val specWen = freeList.allocReqs(i) && freeList.canAlloc(i) 130b034d3b9SLinJiawei // walk back write 131b034d3b9SLinJiawei val commitDestValid = io.roqCommits(i).valid && needDestReg(fp, io.roqCommits(i).bits.uop) 132b034d3b9SLinJiawei val walkWen = commitDestValid && io.roqCommits(i).bits.isWalk 133b034d3b9SLinJiawei 134b034d3b9SLinJiawei rat.specWritePorts(i).wen := specWen || walkWen 135b034d3b9SLinJiawei rat.specWritePorts(i).addr := Mux(specWen, uops(i).ctrl.ldest, io.roqCommits(i).bits.uop.ctrl.ldest) 136b034d3b9SLinJiawei rat.specWritePorts(i).wdata := Mux(specWen, freeList.pdests(i), io.roqCommits(i).bits.uop.old_pdest) 137b034d3b9SLinJiawei 1382e9d39e0SLinJiawei XSInfo(walkWen, 1394fba05b0Slinjiawei {if(fp) p"fp" else p"int "} + p"walk: pc:${Hexadecimal(io.roqCommits(i).bits.uop.cf.pc)}" + 14044fc192dSYinan Xu p" ldest:${rat.specWritePorts(i).addr} old_pdest:${rat.specWritePorts(i).wdata}\n" 1412e9d39e0SLinJiawei ) 1422e9d39e0SLinJiawei 143b034d3b9SLinJiawei rat.archWritePorts(i).wen := commitDestValid && !io.roqCommits(i).bits.isWalk 144b034d3b9SLinJiawei rat.archWritePorts(i).addr := io.roqCommits(i).bits.uop.ctrl.ldest 145b034d3b9SLinJiawei rat.archWritePorts(i).wdata := io.roqCommits(i).bits.uop.pdest 146b034d3b9SLinJiawei 1472e9d39e0SLinJiawei XSInfo(rat.archWritePorts(i).wen, 1482dcb2daaSLinJiawei {if(fp) p"fp" else p"int "} + p" rat arch: ldest:${rat.archWritePorts(i).addr}" + 1492e9d39e0SLinJiawei p" pdest:${rat.archWritePorts(i).wdata}\n" 1502e9d39e0SLinJiawei ) 1512e9d39e0SLinJiawei 152b034d3b9SLinJiawei freeList.deallocReqs(i) := rat.archWritePorts(i).wen 153b034d3b9SLinJiawei freeList.deallocPregs(i) := io.roqCommits(i).bits.uop.old_pdest 154b034d3b9SLinJiawei 155b034d3b9SLinJiawei // set phy reg status to busy 156b034d3b9SLinJiawei busyTable.allocPregs(i).valid := specWen 157b034d3b9SLinJiawei busyTable.allocPregs(i).bits := freeList.pdests(i) 158b034d3b9SLinJiawei } 159b034d3b9SLinJiawei 160b034d3b9SLinJiawei writeRat(fp = false) 161b034d3b9SLinJiawei writeRat(fp = true) 162b034d3b9SLinJiawei 163b034d3b9SLinJiawei // read rename table 164b034d3b9SLinJiawei def readRat(lsrcList: List[UInt], ldest: UInt, fp: Boolean) = { 165b034d3b9SLinJiawei val rat = if(fp) fpRat else intRat 166b034d3b9SLinJiawei val srcCnt = lsrcList.size 167b034d3b9SLinJiawei val psrcVec = Wire(Vec(srcCnt, UInt(PhyRegIdxWidth.W))) 168b034d3b9SLinJiawei val old_pdest = Wire(UInt(PhyRegIdxWidth.W)) 169b034d3b9SLinJiawei for(k <- 0 until srcCnt+1){ 170b034d3b9SLinJiawei val rportIdx = i * (srcCnt+1) + k 171b034d3b9SLinJiawei if(k != srcCnt){ 172b034d3b9SLinJiawei rat.readPorts(rportIdx).addr := lsrcList(k) 173b034d3b9SLinJiawei psrcVec(k) := rat.readPorts(rportIdx).rdata 174b034d3b9SLinJiawei } else { 175b034d3b9SLinJiawei rat.readPorts(rportIdx).addr := ldest 176b034d3b9SLinJiawei old_pdest := rat.readPorts(rportIdx).rdata 177b034d3b9SLinJiawei } 178b034d3b9SLinJiawei } 179b034d3b9SLinJiawei (psrcVec, old_pdest) 180b034d3b9SLinJiawei } 181b034d3b9SLinJiawei val lsrcList = List(uops(i).ctrl.lsrc1, uops(i).ctrl.lsrc2, uops(i).ctrl.lsrc3) 182b034d3b9SLinJiawei val ldest = uops(i).ctrl.ldest 183b034d3b9SLinJiawei val (intPhySrcVec, intOldPdest) = readRat(lsrcList.take(2), ldest, fp = false) 184b034d3b9SLinJiawei val (fpPhySrcVec, fpOldPdest) = readRat(lsrcList, ldest, fp = true) 185b034d3b9SLinJiawei uops(i).psrc1 := Mux(uops(i).ctrl.src1Type === SrcType.reg, intPhySrcVec(0), fpPhySrcVec(0)) 1863449c769SLinJiawei uops(i).psrc2 := Mux(uops(i).ctrl.src2Type === SrcType.reg, intPhySrcVec(1), fpPhySrcVec(1)) 187b034d3b9SLinJiawei uops(i).psrc3 := fpPhySrcVec(2) 188b034d3b9SLinJiawei uops(i).old_pdest := Mux(uops(i).ctrl.rfWen, intOldPdest, fpOldPdest) 189b034d3b9SLinJiawei } 190b034d3b9SLinJiawei 191b034d3b9SLinJiawei 192b034d3b9SLinJiawei def updateBusyTable(fp: Boolean) = { 193b034d3b9SLinJiawei val wbResults = if(fp) io.wbFpResults else io.wbIntResults 194b034d3b9SLinJiawei val busyTable = if(fp) fpBusyTable else intBusyTable 19584a015b1Slinjiawei for((wb, setPhyRegRdy) <- wbResults.zip(busyTable.wbPregs)){ 196b034d3b9SLinJiawei setPhyRegRdy.valid := wb.valid && needDestReg(fp, wb.bits.uop) 197b034d3b9SLinJiawei setPhyRegRdy.bits := wb.bits.uop.pdest 198b034d3b9SLinJiawei } 199b034d3b9SLinJiawei } 200b034d3b9SLinJiawei 201b034d3b9SLinJiawei updateBusyTable(false) 202b034d3b9SLinJiawei updateBusyTable(true) 203b034d3b9SLinJiawei 204b034d3b9SLinJiawei intBusyTable.rfReadAddr <> io.intRfReadAddr 205b034d3b9SLinJiawei intBusyTable.pregRdy <> io.intPregRdy 20660deaca2SLinJiawei for(i <- io.replayPregReq.indices){ 20760deaca2SLinJiawei intBusyTable.replayPregs(i).valid := io.replayPregReq(i).isInt 20860deaca2SLinJiawei fpBusyTable.replayPregs(i).valid := io.replayPregReq(i).isFp 20960deaca2SLinJiawei intBusyTable.replayPregs(i).bits := io.replayPregReq(i).preg 21060deaca2SLinJiawei fpBusyTable.replayPregs(i).bits := io.replayPregReq(i).preg 21160deaca2SLinJiawei } 212b034d3b9SLinJiawei fpBusyTable.rfReadAddr <> io.fpRfReadAddr 213b034d3b9SLinJiawei fpBusyTable.pregRdy <> io.fpPregRdy 2145844fcf0SLinJiawei} 215