1*5844fcf0SLinJiaweipackage xiangshan.backend.rename 2*5844fcf0SLinJiawei 3*5844fcf0SLinJiaweiimport chisel3._ 4*5844fcf0SLinJiaweiimport chisel3.util._ 5*5844fcf0SLinJiaweiimport xiangshan._ 6*5844fcf0SLinJiawei 7*5844fcf0SLinJiaweiclass Rename extends XSModule with NeedImpl { 8*5844fcf0SLinJiawei val io = IO(new Bundle() { 9*5844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 10*5844fcf0SLinJiawei val roqCommits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit))) 11*5844fcf0SLinJiawei val in = Vec(DecodeWidth, Flipped(DecoupledIO(new CfCtrl))) 12*5844fcf0SLinJiawei val out = Vec(DecodeWidth, DecoupledIO(new MicroOp)) 13*5844fcf0SLinJiawei }) 14*5844fcf0SLinJiawei} 15