15844fcf0SLinJiaweipackage xiangshan.backend.rename 25844fcf0SLinJiawei 35844fcf0SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 55844fcf0SLinJiaweiimport xiangshan._ 65844fcf0SLinJiawei 75844fcf0SLinJiaweiclass Rename extends XSModule with NeedImpl { 85844fcf0SLinJiawei val io = IO(new Bundle() { 95844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 105844fcf0SLinJiawei val roqCommits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit))) 11*57c4f8d6SLinJiawei val wbIntResults = Vec(NRWritePorts, Flipped(ValidIO(new ExuOutput))) 12*57c4f8d6SLinJiawei val wbFpResults = Vec(NRWritePorts, Flipped(ValidIO(new ExuOutput))) 13*57c4f8d6SLinJiawei val intPregRdy = Vec(NRReadPorts, Output(Bool())) 14*57c4f8d6SLinJiawei val fpPregRdy = Vec(NRReadPorts, Output(Bool())) 15*57c4f8d6SLinJiawei // from decode buffer 169a2e6b8aSLinJiawei val in = Vec(RenameWidth, Flipped(DecoupledIO(new CfCtrl))) 17*57c4f8d6SLinJiawei // to dispatch1 189a2e6b8aSLinJiawei val out = Vec(RenameWidth, DecoupledIO(new MicroOp)) 195844fcf0SLinJiawei }) 205844fcf0SLinJiawei} 21