xref: /XiangShan/src/main/scala/xiangshan/backend/rename/Rename.scala (revision 2e9d39e099be5897c703b24040f5ea90634b8efa)
15844fcf0SLinJiaweipackage xiangshan.backend.rename
25844fcf0SLinJiawei
35844fcf0SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
55844fcf0SLinJiaweiimport xiangshan._
6*2e9d39e0SLinJiaweiimport xiangshan.utils.XSInfo
75844fcf0SLinJiawei
8b034d3b9SLinJiaweiclass Rename extends XSModule {
95844fcf0SLinJiawei  val io = IO(new Bundle() {
105844fcf0SLinJiawei    val redirect = Flipped(ValidIO(new Redirect))
115844fcf0SLinJiawei    val roqCommits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit)))
1257c4f8d6SLinJiawei    val wbIntResults = Vec(NRWritePorts, Flipped(ValidIO(new ExuOutput)))
1357c4f8d6SLinJiawei    val wbFpResults = Vec(NRWritePorts, Flipped(ValidIO(new ExuOutput)))
149ee0fcaeSLinJiawei    val intRfReadAddr = Vec(NRReadPorts, Input(UInt(PhyRegIdxWidth.W)))
159ee0fcaeSLinJiawei    val fpRfReadAddr = Vec(NRReadPorts, Input(UInt(PhyRegIdxWidth.W)))
1657c4f8d6SLinJiawei    val intPregRdy = Vec(NRReadPorts, Output(Bool()))
1757c4f8d6SLinJiawei    val fpPregRdy = Vec(NRReadPorts, Output(Bool()))
1857c4f8d6SLinJiawei    // from decode buffer
199a2e6b8aSLinJiawei    val in = Vec(RenameWidth, Flipped(DecoupledIO(new CfCtrl)))
2057c4f8d6SLinJiawei    // to dispatch1
219a2e6b8aSLinJiawei    val out = Vec(RenameWidth, DecoupledIO(new MicroOp))
225844fcf0SLinJiawei  })
23b034d3b9SLinJiawei
24*2e9d39e0SLinJiawei  val debug_exception = io.redirect.valid && io.redirect.bits.isException
25*2e9d39e0SLinJiawei  val debug_walk = io.roqCommits.map(_.bits.isWalk).reduce(_ || _)
26*2e9d39e0SLinJiawei  val debug_norm = !(debug_exception || debug_walk)
27*2e9d39e0SLinJiawei
28*2e9d39e0SLinJiawei  def printRenameInfo(in: DecoupledIO[CfCtrl], out: DecoupledIO[MicroOp]) = {
29*2e9d39e0SLinJiawei    XSInfo(
30*2e9d39e0SLinJiawei      debug_norm,
31*2e9d39e0SLinJiawei      p"pc:${Hexadecimal(in.bits.cf.pc)} v:${in.valid} rdy:${in.ready} " +
32*2e9d39e0SLinJiawei        p"lsrc1:${in.bits.ctrl.lsrc1} -> psrc1:${out.bits.psrc1} " +
33*2e9d39e0SLinJiawei        p"lsrc2:${in.bits.ctrl.lsrc2} -> psrc2:${out.bits.psrc2} " +
34*2e9d39e0SLinJiawei        p"lsrc3:${in.bits.ctrl.lsrc3} -> psrc3:${out.bits.psrc3} " +
35*2e9d39e0SLinJiawei        p"ldest:${in.bits.ctrl.ldest} -> pdest:${out.bits.pdest} " +
36*2e9d39e0SLinJiawei        p"old_pdest:${out.bits.old_pdest}\n"
37*2e9d39e0SLinJiawei    )
38*2e9d39e0SLinJiawei  }
39*2e9d39e0SLinJiawei
40*2e9d39e0SLinJiawei  for((x,y) <- io.in.zip(io.out)){
41*2e9d39e0SLinJiawei    printRenameInfo(x, y)
42*2e9d39e0SLinJiawei  }
43*2e9d39e0SLinJiawei
44b034d3b9SLinJiawei  val fpFreeList, intFreeList = Module(new FreeList).io
45b034d3b9SLinJiawei  val fpRat = Module(new RenameTable(float = true)).io
46b034d3b9SLinJiawei  val intRat = Module(new RenameTable(float = false)).io
47b034d3b9SLinJiawei  val fpBusyTable, intBusyTable = Module(new BusyTable).io
48b034d3b9SLinJiawei
49b034d3b9SLinJiawei  fpFreeList.redirect := io.redirect
50b034d3b9SLinJiawei  intFreeList.redirect := io.redirect
51b034d3b9SLinJiawei
52b034d3b9SLinJiawei  val flush = io.redirect.valid && io.redirect.bits.isException
53b034d3b9SLinJiawei  fpRat.flush := flush
54b034d3b9SLinJiawei  intRat.flush := flush
55b034d3b9SLinJiawei  fpBusyTable.flush := flush
56b034d3b9SLinJiawei  intBusyTable.flush := flush
57b034d3b9SLinJiawei
58b034d3b9SLinJiawei  def needDestReg[T <: CfCtrl](fp: Boolean, x: T): Bool = {
59b034d3b9SLinJiawei    {if(fp) x.ctrl.fpWen else x.ctrl.rfWen && (x.ctrl.ldest =/= 0.U)}
60b034d3b9SLinJiawei  }
61b034d3b9SLinJiawei
62b034d3b9SLinJiawei  val uops = Wire(Vec(RenameWidth, new MicroOp))
63b034d3b9SLinJiawei
64b034d3b9SLinJiawei  uops.foreach( uop => {
65b034d3b9SLinJiawei    uop.brMask := DontCare
66b034d3b9SLinJiawei    uop.brTag := DontCare
67b034d3b9SLinJiawei    uop.src1State := DontCare
68b034d3b9SLinJiawei    uop.src2State := DontCare
69b034d3b9SLinJiawei    uop.src3State := DontCare
70b034d3b9SLinJiawei    uop.roqIdx := DontCare
71b034d3b9SLinJiawei  })
72b034d3b9SLinJiawei
73b034d3b9SLinJiawei  var last_can_alloc = WireInit(true.B)
74b034d3b9SLinJiawei  for(i <- 0 until RenameWidth){
75b034d3b9SLinJiawei    uops(i).cf := io.in(i).bits.cf
76b034d3b9SLinJiawei    uops(i).ctrl := io.in(i).bits.ctrl
77b034d3b9SLinJiawei
78b034d3b9SLinJiawei    // alloc a new phy reg
79b034d3b9SLinJiawei    val needFpDest = io.in(i).valid && needDestReg(fp = true, io.in(i).bits)
80b034d3b9SLinJiawei    val needIntDest = io.in(i).valid && needDestReg(fp = false, io.in(i).bits)
81b034d3b9SLinJiawei    fpFreeList.allocReqs(i) := needFpDest && last_can_alloc && io.out(i).ready
82b034d3b9SLinJiawei    intFreeList.allocReqs(i) := needIntDest && last_can_alloc && io.out(i).ready
83b034d3b9SLinJiawei    val fpCanAlloc = fpFreeList.canAlloc(i)
84b034d3b9SLinJiawei    val intCanAlloc = intFreeList.canAlloc(i)
85b034d3b9SLinJiawei    val this_can_alloc = Mux(needIntDest, intCanAlloc, fpCanAlloc)
86b034d3b9SLinJiawei    io.in(i).ready := this_can_alloc
87b034d3b9SLinJiawei    last_can_alloc = last_can_alloc && this_can_alloc
88b034d3b9SLinJiawei    uops(i).pdest := Mux(needIntDest, intFreeList.pdests(i), fpFreeList.pdests(i))
89b034d3b9SLinJiawei    uops(i).freelistAllocPtr := Mux(needIntDest, intFreeList.allocPtrs(i), fpFreeList.allocPtrs(i))
90b034d3b9SLinJiawei
91b034d3b9SLinJiawei    io.out(i).valid := io.in(i).fire()
92b034d3b9SLinJiawei    io.out(i).bits := uops(i)
93b034d3b9SLinJiawei
94b034d3b9SLinJiawei    // write rename table
95b034d3b9SLinJiawei    def writeRat(fp: Boolean) = {
96b034d3b9SLinJiawei      val rat = if(fp) fpRat else intRat
97b034d3b9SLinJiawei      val freeList = if(fp) fpFreeList else intFreeList
98b034d3b9SLinJiawei      val busyTable = if(fp) fpBusyTable else intBusyTable
99b034d3b9SLinJiawei      // speculative inst write
100b034d3b9SLinJiawei      val specWen = freeList.allocReqs(i) && freeList.canAlloc(i)
101b034d3b9SLinJiawei      // walk back write
102b034d3b9SLinJiawei      val commitDestValid = io.roqCommits(i).valid && needDestReg(fp, io.roqCommits(i).bits.uop)
103b034d3b9SLinJiawei      val walkWen = commitDestValid && io.roqCommits(i).bits.isWalk
104b034d3b9SLinJiawei
105b034d3b9SLinJiawei      rat.specWritePorts(i).wen := specWen || walkWen
106b034d3b9SLinJiawei      rat.specWritePorts(i).addr := Mux(specWen, uops(i).ctrl.ldest, io.roqCommits(i).bits.uop.ctrl.ldest)
107b034d3b9SLinJiawei      rat.specWritePorts(i).wdata := Mux(specWen, freeList.pdests(i), io.roqCommits(i).bits.uop.old_pdest)
108b034d3b9SLinJiawei
109*2e9d39e0SLinJiawei      XSInfo(walkWen,
110*2e9d39e0SLinJiawei        {if(fp) "fp" else "int "} + p"walk: pc:${Hexadecimal(uops(i).cf.pc)}" +
111*2e9d39e0SLinJiawei          p" ldst:${rat.specWritePorts(i).addr} old_pdest:${rat.specWritePorts(i).wdata}\n"
112*2e9d39e0SLinJiawei      )
113*2e9d39e0SLinJiawei
114b034d3b9SLinJiawei      rat.archWritePorts(i).wen := commitDestValid && !io.roqCommits(i).bits.isWalk
115b034d3b9SLinJiawei      rat.archWritePorts(i).addr := io.roqCommits(i).bits.uop.ctrl.ldest
116b034d3b9SLinJiawei      rat.archWritePorts(i).wdata := io.roqCommits(i).bits.uop.pdest
117b034d3b9SLinJiawei
118*2e9d39e0SLinJiawei      XSInfo(rat.archWritePorts(i).wen,
119*2e9d39e0SLinJiawei        {if(fp) "fp" else "int "} + p" rat arch: ldest:${rat.archWritePorts(i).addr}" +
120*2e9d39e0SLinJiawei          p" pdest:${rat.archWritePorts(i).wdata}\n"
121*2e9d39e0SLinJiawei      )
122*2e9d39e0SLinJiawei
123b034d3b9SLinJiawei      freeList.deallocReqs(i) := rat.archWritePorts(i).wen
124b034d3b9SLinJiawei      freeList.deallocPregs(i) := io.roqCommits(i).bits.uop.old_pdest
125b034d3b9SLinJiawei
126b034d3b9SLinJiawei      // set phy reg status to busy
127b034d3b9SLinJiawei      busyTable.allocPregs(i).valid := specWen
128b034d3b9SLinJiawei      busyTable.allocPregs(i).bits := freeList.pdests(i)
129b034d3b9SLinJiawei    }
130b034d3b9SLinJiawei
131b034d3b9SLinJiawei    writeRat(fp = false)
132b034d3b9SLinJiawei    writeRat(fp = true)
133b034d3b9SLinJiawei
134b034d3b9SLinJiawei    // read rename table
135b034d3b9SLinJiawei    def readRat(lsrcList: List[UInt], ldest: UInt, fp: Boolean) = {
136b034d3b9SLinJiawei      val rat = if(fp) fpRat else intRat
137b034d3b9SLinJiawei      val srcCnt = lsrcList.size
138b034d3b9SLinJiawei      val psrcVec = Wire(Vec(srcCnt, UInt(PhyRegIdxWidth.W)))
139b034d3b9SLinJiawei      val old_pdest = Wire(UInt(PhyRegIdxWidth.W))
140b034d3b9SLinJiawei      for(k <- 0 until srcCnt+1){
141b034d3b9SLinJiawei        val rportIdx = i * (srcCnt+1) + k
142b034d3b9SLinJiawei        if(k != srcCnt){
143b034d3b9SLinJiawei          rat.readPorts(rportIdx).addr := lsrcList(k)
144b034d3b9SLinJiawei          psrcVec(k) := rat.readPorts(rportIdx).rdata
145b034d3b9SLinJiawei        } else {
146b034d3b9SLinJiawei          rat.readPorts(rportIdx).addr := ldest
147b034d3b9SLinJiawei          old_pdest := rat.readPorts(rportIdx).rdata
148b034d3b9SLinJiawei        }
149b034d3b9SLinJiawei      }
150b034d3b9SLinJiawei      (psrcVec, old_pdest)
151b034d3b9SLinJiawei    }
152b034d3b9SLinJiawei    val lsrcList = List(uops(i).ctrl.lsrc1, uops(i).ctrl.lsrc2, uops(i).ctrl.lsrc3)
153b034d3b9SLinJiawei    val ldest = uops(i).ctrl.ldest
154b034d3b9SLinJiawei    val (intPhySrcVec, intOldPdest) = readRat(lsrcList.take(2), ldest, fp = false)
155b034d3b9SLinJiawei    val (fpPhySrcVec, fpOldPdest) = readRat(lsrcList, ldest, fp = true)
156b034d3b9SLinJiawei    uops(i).psrc1 := Mux(uops(i).ctrl.src1Type === SrcType.reg, intPhySrcVec(0), fpPhySrcVec(0))
157b034d3b9SLinJiawei    uops(i).psrc2 := Mux(uops(i).ctrl.src1Type === SrcType.reg, intPhySrcVec(1), fpPhySrcVec(1))
158b034d3b9SLinJiawei    uops(i).psrc3 := fpPhySrcVec(2)
159b034d3b9SLinJiawei    uops(i).old_pdest := Mux(uops(i).ctrl.rfWen, intOldPdest, fpOldPdest)
160b034d3b9SLinJiawei  }
161b034d3b9SLinJiawei
162b034d3b9SLinJiawei
163b034d3b9SLinJiawei  def updateBusyTable(fp: Boolean) = {
164b034d3b9SLinJiawei    val wbResults = if(fp) io.wbFpResults else io.wbIntResults
165b034d3b9SLinJiawei    val busyTable = if(fp) fpBusyTable else intBusyTable
166b034d3b9SLinJiawei    for((wb, setPhyRegRdy) <- wbResults.zip(busyTable.wbPregs)){
167b034d3b9SLinJiawei      setPhyRegRdy.valid := wb.valid && needDestReg(fp, wb.bits.uop)
168b034d3b9SLinJiawei      setPhyRegRdy.bits := wb.bits.uop.pdest
169b034d3b9SLinJiawei    }
170b034d3b9SLinJiawei  }
171b034d3b9SLinJiawei
172b034d3b9SLinJiawei  updateBusyTable(false)
173b034d3b9SLinJiawei  updateBusyTable(true)
174b034d3b9SLinJiawei
175b034d3b9SLinJiawei  intBusyTable.rfReadAddr <> io.intRfReadAddr
176b034d3b9SLinJiawei  intBusyTable.pregRdy <> io.intPregRdy
177b034d3b9SLinJiawei  fpBusyTable.rfReadAddr <> io.fpRfReadAddr
178b034d3b9SLinJiawei  fpBusyTable.pregRdy <> io.fpPregRdy
1795844fcf0SLinJiawei}
180