15844fcf0SLinJiaweipackage xiangshan.backend.rename 25844fcf0SLinJiawei 35844fcf0SLinJiaweiimport chisel3._ 45844fcf0SLinJiaweiimport chisel3.util._ 55844fcf0SLinJiaweiimport xiangshan._ 62e9d39e0SLinJiaweiimport xiangshan.utils.XSInfo 75844fcf0SLinJiawei 8b034d3b9SLinJiaweiclass Rename extends XSModule { 95844fcf0SLinJiawei val io = IO(new Bundle() { 105844fcf0SLinJiawei val redirect = Flipped(ValidIO(new Redirect)) 115844fcf0SLinJiawei val roqCommits = Vec(CommitWidth, Flipped(ValidIO(new RoqCommit))) 1257c4f8d6SLinJiawei val wbIntResults = Vec(NRWritePorts, Flipped(ValidIO(new ExuOutput))) 1357c4f8d6SLinJiawei val wbFpResults = Vec(NRWritePorts, Flipped(ValidIO(new ExuOutput))) 149ee0fcaeSLinJiawei val intRfReadAddr = Vec(NRReadPorts, Input(UInt(PhyRegIdxWidth.W))) 159ee0fcaeSLinJiawei val fpRfReadAddr = Vec(NRReadPorts, Input(UInt(PhyRegIdxWidth.W))) 1657c4f8d6SLinJiawei val intPregRdy = Vec(NRReadPorts, Output(Bool())) 1757c4f8d6SLinJiawei val fpPregRdy = Vec(NRReadPorts, Output(Bool())) 1857c4f8d6SLinJiawei // from decode buffer 199a2e6b8aSLinJiawei val in = Vec(RenameWidth, Flipped(DecoupledIO(new CfCtrl))) 2057c4f8d6SLinJiawei // to dispatch1 219a2e6b8aSLinJiawei val out = Vec(RenameWidth, DecoupledIO(new MicroOp)) 225844fcf0SLinJiawei }) 23b034d3b9SLinJiawei 242e9d39e0SLinJiawei val debug_exception = io.redirect.valid && io.redirect.bits.isException 252e9d39e0SLinJiawei val debug_walk = io.roqCommits.map(_.bits.isWalk).reduce(_ || _) 262e9d39e0SLinJiawei val debug_norm = !(debug_exception || debug_walk) 272e9d39e0SLinJiawei 282e9d39e0SLinJiawei def printRenameInfo(in: DecoupledIO[CfCtrl], out: DecoupledIO[MicroOp]) = { 292e9d39e0SLinJiawei XSInfo( 302e9d39e0SLinJiawei debug_norm, 312e9d39e0SLinJiawei p"pc:${Hexadecimal(in.bits.cf.pc)} v:${in.valid} rdy:${in.ready} " + 322e9d39e0SLinJiawei p"lsrc1:${in.bits.ctrl.lsrc1} -> psrc1:${out.bits.psrc1} " + 332e9d39e0SLinJiawei p"lsrc2:${in.bits.ctrl.lsrc2} -> psrc2:${out.bits.psrc2} " + 342e9d39e0SLinJiawei p"lsrc3:${in.bits.ctrl.lsrc3} -> psrc3:${out.bits.psrc3} " + 352e9d39e0SLinJiawei p"ldest:${in.bits.ctrl.ldest} -> pdest:${out.bits.pdest} " + 362e9d39e0SLinJiawei p"old_pdest:${out.bits.old_pdest}\n" 372e9d39e0SLinJiawei ) 382e9d39e0SLinJiawei } 392e9d39e0SLinJiawei 402e9d39e0SLinJiawei for((x,y) <- io.in.zip(io.out)){ 412e9d39e0SLinJiawei printRenameInfo(x, y) 422e9d39e0SLinJiawei } 432e9d39e0SLinJiawei 44b034d3b9SLinJiawei val fpFreeList, intFreeList = Module(new FreeList).io 45b034d3b9SLinJiawei val fpRat = Module(new RenameTable(float = true)).io 46b034d3b9SLinJiawei val intRat = Module(new RenameTable(float = false)).io 47b034d3b9SLinJiawei val fpBusyTable, intBusyTable = Module(new BusyTable).io 48b034d3b9SLinJiawei 49b034d3b9SLinJiawei fpFreeList.redirect := io.redirect 50b034d3b9SLinJiawei intFreeList.redirect := io.redirect 51b034d3b9SLinJiawei 52b034d3b9SLinJiawei val flush = io.redirect.valid && io.redirect.bits.isException 53b034d3b9SLinJiawei fpRat.flush := flush 54b034d3b9SLinJiawei intRat.flush := flush 55b034d3b9SLinJiawei fpBusyTable.flush := flush 56b034d3b9SLinJiawei intBusyTable.flush := flush 57b034d3b9SLinJiawei 58b034d3b9SLinJiawei def needDestReg[T <: CfCtrl](fp: Boolean, x: T): Bool = { 59b034d3b9SLinJiawei {if(fp) x.ctrl.fpWen else x.ctrl.rfWen && (x.ctrl.ldest =/= 0.U)} 60b034d3b9SLinJiawei } 61b034d3b9SLinJiawei 62b034d3b9SLinJiawei val uops = Wire(Vec(RenameWidth, new MicroOp)) 63b034d3b9SLinJiawei 64b034d3b9SLinJiawei uops.foreach( uop => { 65*0e9eef65SYinan Xu// uop.brMask := DontCare 66*0e9eef65SYinan Xu// uop.brTag := DontCare 67b034d3b9SLinJiawei uop.src1State := DontCare 68b034d3b9SLinJiawei uop.src2State := DontCare 69b034d3b9SLinJiawei uop.src3State := DontCare 70b034d3b9SLinJiawei uop.roqIdx := DontCare 71b034d3b9SLinJiawei }) 72b034d3b9SLinJiawei 73b034d3b9SLinJiawei var last_can_alloc = WireInit(true.B) 74b034d3b9SLinJiawei for(i <- 0 until RenameWidth) { 75b034d3b9SLinJiawei uops(i).cf := io.in(i).bits.cf 76b034d3b9SLinJiawei uops(i).ctrl := io.in(i).bits.ctrl 77*0e9eef65SYinan Xu uops(i).brMask := io.in(i).bits.brMask 78*0e9eef65SYinan Xu uops(i).brTag := io.in(i).bits.brTag 79b034d3b9SLinJiawei 80b034d3b9SLinJiawei // alloc a new phy reg 81b034d3b9SLinJiawei val needFpDest = io.in(i).valid && needDestReg(fp = true, io.in(i).bits) 82b034d3b9SLinJiawei val needIntDest = io.in(i).valid && needDestReg(fp = false, io.in(i).bits) 83b034d3b9SLinJiawei fpFreeList.allocReqs(i) := needFpDest && last_can_alloc && io.out(i).ready 84b034d3b9SLinJiawei intFreeList.allocReqs(i) := needIntDest && last_can_alloc && io.out(i).ready 85b034d3b9SLinJiawei val fpCanAlloc = fpFreeList.canAlloc(i) 86b034d3b9SLinJiawei val intCanAlloc = intFreeList.canAlloc(i) 87b034d3b9SLinJiawei val this_can_alloc = Mux(needIntDest, intCanAlloc, fpCanAlloc) 88b034d3b9SLinJiawei io.in(i).ready := this_can_alloc 89b034d3b9SLinJiawei last_can_alloc = last_can_alloc && this_can_alloc 90b034d3b9SLinJiawei uops(i).pdest := Mux(needIntDest, intFreeList.pdests(i), fpFreeList.pdests(i)) 91b034d3b9SLinJiawei uops(i).freelistAllocPtr := Mux(needIntDest, intFreeList.allocPtrs(i), fpFreeList.allocPtrs(i)) 92b034d3b9SLinJiawei 93b034d3b9SLinJiawei io.out(i).valid := io.in(i).fire() 94b034d3b9SLinJiawei io.out(i).bits := uops(i) 95b034d3b9SLinJiawei 96b034d3b9SLinJiawei // write rename table 97b034d3b9SLinJiawei def writeRat(fp: Boolean) = { 98b034d3b9SLinJiawei val rat = if(fp) fpRat else intRat 99b034d3b9SLinJiawei val freeList = if(fp) fpFreeList else intFreeList 100b034d3b9SLinJiawei val busyTable = if(fp) fpBusyTable else intBusyTable 101b034d3b9SLinJiawei // speculative inst write 102b034d3b9SLinJiawei val specWen = freeList.allocReqs(i) && freeList.canAlloc(i) 103b034d3b9SLinJiawei // walk back write 104b034d3b9SLinJiawei val commitDestValid = io.roqCommits(i).valid && needDestReg(fp, io.roqCommits(i).bits.uop) 105b034d3b9SLinJiawei val walkWen = commitDestValid && io.roqCommits(i).bits.isWalk 106b034d3b9SLinJiawei 107b034d3b9SLinJiawei rat.specWritePorts(i).wen := specWen || walkWen 108b034d3b9SLinJiawei rat.specWritePorts(i).addr := Mux(specWen, uops(i).ctrl.ldest, io.roqCommits(i).bits.uop.ctrl.ldest) 109b034d3b9SLinJiawei rat.specWritePorts(i).wdata := Mux(specWen, freeList.pdests(i), io.roqCommits(i).bits.uop.old_pdest) 110b034d3b9SLinJiawei 1112e9d39e0SLinJiawei XSInfo(walkWen, 1122e9d39e0SLinJiawei {if(fp) "fp" else "int "} + p"walk: pc:${Hexadecimal(uops(i).cf.pc)}" + 1132e9d39e0SLinJiawei p" ldst:${rat.specWritePorts(i).addr} old_pdest:${rat.specWritePorts(i).wdata}\n" 1142e9d39e0SLinJiawei ) 1152e9d39e0SLinJiawei 116b034d3b9SLinJiawei rat.archWritePorts(i).wen := commitDestValid && !io.roqCommits(i).bits.isWalk 117b034d3b9SLinJiawei rat.archWritePorts(i).addr := io.roqCommits(i).bits.uop.ctrl.ldest 118b034d3b9SLinJiawei rat.archWritePorts(i).wdata := io.roqCommits(i).bits.uop.pdest 119b034d3b9SLinJiawei 1202e9d39e0SLinJiawei XSInfo(rat.archWritePorts(i).wen, 1212e9d39e0SLinJiawei {if(fp) "fp" else "int "} + p" rat arch: ldest:${rat.archWritePorts(i).addr}" + 1222e9d39e0SLinJiawei p" pdest:${rat.archWritePorts(i).wdata}\n" 1232e9d39e0SLinJiawei ) 1242e9d39e0SLinJiawei 125b034d3b9SLinJiawei freeList.deallocReqs(i) := rat.archWritePorts(i).wen 126b034d3b9SLinJiawei freeList.deallocPregs(i) := io.roqCommits(i).bits.uop.old_pdest 127b034d3b9SLinJiawei 128b034d3b9SLinJiawei // set phy reg status to busy 129b034d3b9SLinJiawei busyTable.allocPregs(i).valid := specWen 130b034d3b9SLinJiawei busyTable.allocPregs(i).bits := freeList.pdests(i) 131b034d3b9SLinJiawei } 132b034d3b9SLinJiawei 133b034d3b9SLinJiawei writeRat(fp = false) 134b034d3b9SLinJiawei writeRat(fp = true) 135b034d3b9SLinJiawei 136b034d3b9SLinJiawei // read rename table 137b034d3b9SLinJiawei def readRat(lsrcList: List[UInt], ldest: UInt, fp: Boolean) = { 138b034d3b9SLinJiawei val rat = if(fp) fpRat else intRat 139b034d3b9SLinJiawei val srcCnt = lsrcList.size 140b034d3b9SLinJiawei val psrcVec = Wire(Vec(srcCnt, UInt(PhyRegIdxWidth.W))) 141b034d3b9SLinJiawei val old_pdest = Wire(UInt(PhyRegIdxWidth.W)) 142b034d3b9SLinJiawei for(k <- 0 until srcCnt+1){ 143b034d3b9SLinJiawei val rportIdx = i * (srcCnt+1) + k 144b034d3b9SLinJiawei if(k != srcCnt){ 145b034d3b9SLinJiawei rat.readPorts(rportIdx).addr := lsrcList(k) 146b034d3b9SLinJiawei psrcVec(k) := rat.readPorts(rportIdx).rdata 147b034d3b9SLinJiawei } else { 148b034d3b9SLinJiawei rat.readPorts(rportIdx).addr := ldest 149b034d3b9SLinJiawei old_pdest := rat.readPorts(rportIdx).rdata 150b034d3b9SLinJiawei } 151b034d3b9SLinJiawei } 152b034d3b9SLinJiawei (psrcVec, old_pdest) 153b034d3b9SLinJiawei } 154b034d3b9SLinJiawei val lsrcList = List(uops(i).ctrl.lsrc1, uops(i).ctrl.lsrc2, uops(i).ctrl.lsrc3) 155b034d3b9SLinJiawei val ldest = uops(i).ctrl.ldest 156b034d3b9SLinJiawei val (intPhySrcVec, intOldPdest) = readRat(lsrcList.take(2), ldest, fp = false) 157b034d3b9SLinJiawei val (fpPhySrcVec, fpOldPdest) = readRat(lsrcList, ldest, fp = true) 158b034d3b9SLinJiawei uops(i).psrc1 := Mux(uops(i).ctrl.src1Type === SrcType.reg, intPhySrcVec(0), fpPhySrcVec(0)) 159b034d3b9SLinJiawei uops(i).psrc2 := Mux(uops(i).ctrl.src1Type === SrcType.reg, intPhySrcVec(1), fpPhySrcVec(1)) 160b034d3b9SLinJiawei uops(i).psrc3 := fpPhySrcVec(2) 161b034d3b9SLinJiawei uops(i).old_pdest := Mux(uops(i).ctrl.rfWen, intOldPdest, fpOldPdest) 162b034d3b9SLinJiawei } 163b034d3b9SLinJiawei 164b034d3b9SLinJiawei 165b034d3b9SLinJiawei def updateBusyTable(fp: Boolean) = { 166b034d3b9SLinJiawei val wbResults = if(fp) io.wbFpResults else io.wbIntResults 167b034d3b9SLinJiawei val busyTable = if(fp) fpBusyTable else intBusyTable 168b034d3b9SLinJiawei for((wb, setPhyRegRdy) <- wbResults.zip(busyTable.wbPregs)){ 169b034d3b9SLinJiawei setPhyRegRdy.valid := wb.valid && needDestReg(fp, wb.bits.uop) 170b034d3b9SLinJiawei setPhyRegRdy.bits := wb.bits.uop.pdest 171b034d3b9SLinJiawei } 172b034d3b9SLinJiawei } 173b034d3b9SLinJiawei 174b034d3b9SLinJiawei updateBusyTable(false) 175b034d3b9SLinJiawei updateBusyTable(true) 176b034d3b9SLinJiawei 177b034d3b9SLinJiawei intBusyTable.rfReadAddr <> io.intRfReadAddr 178b034d3b9SLinJiawei intBusyTable.pregRdy <> io.intPregRdy 179b034d3b9SLinJiawei fpBusyTable.rfReadAddr <> io.fpRfReadAddr 180b034d3b9SLinJiawei fpBusyTable.pregRdy <> io.fpPregRdy 1815844fcf0SLinJiawei} 182