xref: /XiangShan/src/main/scala/xiangshan/backend/regfile/Regfile.scala (revision fc8a3b3f6f68fb64c11a89cc89f74516cca06b79)
15844fcf0SLinJiaweipackage xiangshan.backend.regfile
25844fcf0SLinJiawei
35844fcf0SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
55844fcf0SLinJiaweiimport xiangshan._
65844fcf0SLinJiawei
7a165bd69Swangkaifanobject hartIdRFInt extends (() => Int) {
8a165bd69Swangkaifan  var x = 0
9a165bd69Swangkaifan  def apply(): Int = {
10a165bd69Swangkaifan    x = x + 1
11a165bd69Swangkaifan    x-1
12a165bd69Swangkaifan  }
13a165bd69Swangkaifan}
14a165bd69Swangkaifan
15a165bd69Swangkaifanobject hartIdRFFp extends (() => Int) {
16a165bd69Swangkaifan  var x = 0
17a165bd69Swangkaifan  def apply(): Int = {
18a165bd69Swangkaifan    x = x + 1
19a165bd69Swangkaifan    x-1
20a165bd69Swangkaifan  }
21a165bd69Swangkaifan}
22a165bd69Swangkaifan
23ebd10a1fSYinan Xuclass RfReadPort(len: Int) extends XSBundle {
245844fcf0SLinJiawei  val addr = Input(UInt(PhyRegIdxWidth.W))
25ebd10a1fSYinan Xu  val data = Output(UInt(len.W))
26ebd10a1fSYinan Xu  override def cloneType: RfReadPort.this.type =
27ebd10a1fSYinan Xu    new RfReadPort(len).asInstanceOf[this.type]
285844fcf0SLinJiawei}
295844fcf0SLinJiawei
30ebd10a1fSYinan Xuclass RfWritePort(len: Int) extends XSBundle {
315844fcf0SLinJiawei  val wen = Input(Bool())
325844fcf0SLinJiawei  val addr = Input(UInt(PhyRegIdxWidth.W))
33ebd10a1fSYinan Xu  val data = Input(UInt(len.W))
34ebd10a1fSYinan Xu  override def cloneType: RfWritePort.this.type =
35ebd10a1fSYinan Xu    new RfWritePort(len).asInstanceOf[this.type]
365844fcf0SLinJiawei}
375844fcf0SLinJiawei
385844fcf0SLinJiaweiclass Regfile
395844fcf0SLinJiawei(
405844fcf0SLinJiawei  numReadPorts: Int,
415844fcf0SLinJiawei  numWirtePorts: Int,
429684eb4fSLinJiawei  hasZero: Boolean,
439684eb4fSLinJiawei  len: Int
440c701001SLinJiawei) extends XSModule {
455844fcf0SLinJiawei  val io = IO(new Bundle() {
46ebd10a1fSYinan Xu    val readPorts = Vec(numReadPorts, new RfReadPort(len))
47ebd10a1fSYinan Xu    val writePorts = Vec(numWirtePorts, new RfWritePort(len))
485844fcf0SLinJiawei  })
490c701001SLinJiawei
50ebd10a1fSYinan Xu  val useBlackBox = false
51ebd10a1fSYinan Xu  if (!useBlackBox) {
52*fc8a3b3fSljw    val mem = Reg(Vec(NRPhyRegs, UInt(len.W)))
530c701001SLinJiawei    for (r <- io.readPorts) {
54b441ea13SYikeZhou      val rdata = if (hasZero) Mux(r.addr === 0.U, 0.U, mem(r.addr)) else mem(r.addr)
55b441ea13SYikeZhou      r.data := RegNext(rdata)
560c701001SLinJiawei    }
570c701001SLinJiawei    for (w <- io.writePorts) {
580c701001SLinJiawei      when(w.wen) {
590c701001SLinJiawei        mem(w.addr) := w.data
600c701001SLinJiawei      }
610c701001SLinJiawei    }
626624015fSLinJiawei
63ebd10a1fSYinan Xu    if (!env.FPGAPlatform) {
64a165bd69Swangkaifan      val id = if (hasZero) hartIdRFInt() else hartIdRFFp()
65a165bd69Swangkaifan      val debugArchRat = WireInit(VecInit(Seq.fill(32)(0.U(PhyRegIdxWidth.W))))
66a165bd69Swangkaifan      ExcitingUtils.addSink(
67a165bd69Swangkaifan        debugArchRat,
68a165bd69Swangkaifan        if(hasZero) s"DEBUG_INI_ARCH_RAT$id" else s"DEBUG_FP_ARCH_RAT$id",
69a165bd69Swangkaifan        ExcitingUtils.Debug
70a165bd69Swangkaifan      )
71a165bd69Swangkaifan
72a165bd69Swangkaifan      val debugArchReg = WireInit(VecInit(debugArchRat.zipWithIndex.map(
73a165bd69Swangkaifan        x => if(hasZero){
74a165bd69Swangkaifan          if(x._2 == 0) 0.U else mem(x._1)
75a165bd69Swangkaifan        } else {
76a165bd69Swangkaifan          ieee(mem(x._1))
77a165bd69Swangkaifan        }
78a165bd69Swangkaifan      )))
79a165bd69Swangkaifan      ExcitingUtils.addSource(
80a165bd69Swangkaifan        debugArchReg,
81a165bd69Swangkaifan        if(hasZero) s"DEBUG_INT_ARCH_REG$id" else s"DEBUG_FP_ARCH_REG$id",
82a165bd69Swangkaifan        ExcitingUtils.Debug
83a165bd69Swangkaifan      )
84a165bd69Swangkaifan    }
85067dba72SLinJiawei  } else {
86067dba72SLinJiawei
87af5cf0d1SYinan Xu    val regfile = Module(new regfile_160x64_10w16r_sim)
88067dba72SLinJiawei
89067dba72SLinJiawei    regfile.io.clk := this.clock
90067dba72SLinJiawei    regfile.io.gpr := hasZero.B
91067dba72SLinJiawei
92067dba72SLinJiawei    regfile.io.wen0   := io.writePorts(0).wen
93067dba72SLinJiawei    regfile.io.waddr0 := io.writePorts(0).addr
94067dba72SLinJiawei    regfile.io.wdata0 := io.writePorts(0).data
95067dba72SLinJiawei
96067dba72SLinJiawei    regfile.io.wen1   := io.writePorts(1).wen
97067dba72SLinJiawei    regfile.io.waddr1 := io.writePorts(1).addr
98067dba72SLinJiawei    regfile.io.wdata1 := io.writePorts(1).data
99067dba72SLinJiawei
100067dba72SLinJiawei    regfile.io.wen2   := io.writePorts(2).wen
101067dba72SLinJiawei    regfile.io.waddr2 := io.writePorts(2).addr
102067dba72SLinJiawei    regfile.io.wdata2 := io.writePorts(2).data
103067dba72SLinJiawei
104067dba72SLinJiawei    regfile.io.wen3   := io.writePorts(3).wen
105067dba72SLinJiawei    regfile.io.waddr3 := io.writePorts(3).addr
106067dba72SLinJiawei    regfile.io.wdata3 := io.writePorts(3).data
107067dba72SLinJiawei
108067dba72SLinJiawei    regfile.io.wen4   := io.writePorts(4).wen
109067dba72SLinJiawei    regfile.io.waddr4 := io.writePorts(4).addr
110067dba72SLinJiawei    regfile.io.wdata4 := io.writePorts(4).data
111067dba72SLinJiawei
112067dba72SLinJiawei    regfile.io.wen5   := io.writePorts(5).wen
113067dba72SLinJiawei    regfile.io.waddr5 := io.writePorts(5).addr
114067dba72SLinJiawei    regfile.io.wdata5 := io.writePorts(5).data
115067dba72SLinJiawei
116067dba72SLinJiawei    regfile.io.wen6   := io.writePorts(6).wen
117067dba72SLinJiawei    regfile.io.waddr6 := io.writePorts(6).addr
118067dba72SLinJiawei    regfile.io.wdata6 := io.writePorts(6).data
119067dba72SLinJiawei
120067dba72SLinJiawei    regfile.io.wen7   := io.writePorts(7).wen
121067dba72SLinJiawei    regfile.io.waddr7 := io.writePorts(7).addr
122067dba72SLinJiawei    regfile.io.wdata7 := io.writePorts(7).data
123067dba72SLinJiawei
124067dba72SLinJiawei    regfile.io.wen8   := false.B   //io.writePorts(8).wen
125067dba72SLinJiawei    regfile.io.waddr8 := DontCare  //io.writePorts(8).addr
126067dba72SLinJiawei    regfile.io.wdata8 := DontCare  //io.writePorts(8).data
127067dba72SLinJiawei
128067dba72SLinJiawei    regfile.io.wen9   := false.B   //io.writePorts(9).wen
129067dba72SLinJiawei    regfile.io.waddr9 := DontCare  //io.writePorts(9).addr
130067dba72SLinJiawei    regfile.io.wdata9 := DontCare  //io.writePorts(9).data
131067dba72SLinJiawei
132067dba72SLinJiawei
133067dba72SLinJiawei    regfile.io.raddr0  := io.readPorts(0).addr
134067dba72SLinJiawei    regfile.io.raddr1  := io.readPorts(1).addr
135067dba72SLinJiawei    regfile.io.raddr2  := io.readPorts(2).addr
136067dba72SLinJiawei    regfile.io.raddr3  := io.readPorts(3).addr
137067dba72SLinJiawei    regfile.io.raddr4  := io.readPorts(4).addr
138067dba72SLinJiawei    regfile.io.raddr5  := io.readPorts(5).addr
139067dba72SLinJiawei    regfile.io.raddr6  := io.readPorts(6).addr
140067dba72SLinJiawei    regfile.io.raddr7  := io.readPorts(7).addr
141067dba72SLinJiawei    regfile.io.raddr8  := io.readPorts(8).addr
142067dba72SLinJiawei    regfile.io.raddr9  := io.readPorts(9).addr
143067dba72SLinJiawei    regfile.io.raddr10 := io.readPorts(10).addr
144067dba72SLinJiawei    regfile.io.raddr11 := io.readPorts(11).addr
145067dba72SLinJiawei    regfile.io.raddr12 := io.readPorts(12).addr
146067dba72SLinJiawei    regfile.io.raddr13 := io.readPorts(13).addr
147067dba72SLinJiawei    regfile.io.raddr14 := DontCare //io.readPorts(14).addr
148067dba72SLinJiawei    regfile.io.raddr15 := DontCare //io.readPorts(15).addr
149067dba72SLinJiawei
150067dba72SLinJiawei    io.readPorts(0).data := regfile.io.rdata0
151067dba72SLinJiawei    io.readPorts(1).data := regfile.io.rdata1
152067dba72SLinJiawei    io.readPorts(2).data := regfile.io.rdata2
153067dba72SLinJiawei    io.readPorts(3).data := regfile.io.rdata3
154067dba72SLinJiawei    io.readPorts(4).data := regfile.io.rdata4
155067dba72SLinJiawei    io.readPorts(5).data := regfile.io.rdata5
156067dba72SLinJiawei    io.readPorts(6).data := regfile.io.rdata6
157067dba72SLinJiawei    io.readPorts(7).data := regfile.io.rdata7
158067dba72SLinJiawei    io.readPorts(8).data := regfile.io.rdata8
159067dba72SLinJiawei    io.readPorts(9).data := regfile.io.rdata9
160067dba72SLinJiawei    io.readPorts(10).data := regfile.io.rdata10
161067dba72SLinJiawei    io.readPorts(11).data := regfile.io.rdata11
162067dba72SLinJiawei    io.readPorts(12).data := regfile.io.rdata12
163067dba72SLinJiawei    io.readPorts(13).data := regfile.io.rdata13
1645844fcf0SLinJiawei  }
16544dead2fSZhangZifei
16644dead2fSZhangZifei}
167067dba72SLinJiawei
168af5cf0d1SYinan Xuclass regfile_160x64_10w16r_sim extends BlackBox with HasBlackBoxResource {
169067dba72SLinJiawei
170067dba72SLinJiawei  val io = IO(new Bundle{
171067dba72SLinJiawei    val clk = Input(Clock())
172067dba72SLinJiawei    val gpr = Input(Bool())
173067dba72SLinJiawei
174067dba72SLinJiawei    // write
175067dba72SLinJiawei    val wen0, wen1, wen2, wen3, wen4, wen5, wen6, wen7, wen8, wen9 = Input(Bool())
176067dba72SLinJiawei    val waddr0, waddr1, waddr2, waddr3, waddr4, waddr5, waddr6, waddr7, waddr8, waddr9 = Input(UInt(8.W))
177067dba72SLinJiawei    val wdata0, wdata1, wdata2, wdata3, wdata4, wdata5, wdata6, wdata7, wdata8, wdata9 = Input(UInt(64.W))
178067dba72SLinJiawei
179067dba72SLinJiawei    // read
180067dba72SLinJiawei    val raddr0, raddr1, raddr2, raddr3, raddr4, raddr5, raddr6, raddr7 = Input(UInt(8.W))
181067dba72SLinJiawei    val raddr8, raddr9, raddr10, raddr11, raddr12, raddr13, raddr14, raddr15 = Input(UInt(8.W))
182067dba72SLinJiawei    val rdata0, rdata1, rdata2, rdata3, rdata4, rdata5, rdata6, rdata7 = Output(UInt(64.W))
183067dba72SLinJiawei    val rdata8, rdata9, rdata10, rdata11, rdata12, rdata13, rdata14, rdata15 = Output(UInt(64.W))
184067dba72SLinJiawei  })
185067dba72SLinJiawei
186067dba72SLinJiawei  val vsrc = "/vsrc/regfile_160x64_10w16r_sim.v"
187067dba72SLinJiawei  println(s"Regfile: Using verilog source at: $vsrc")
188067dba72SLinJiawei  setResource(vsrc)
189067dba72SLinJiawei
190067dba72SLinJiawei}
191067dba72SLinJiawei
192