xref: /XiangShan/src/main/scala/xiangshan/backend/regfile/Regfile.scala (revision fbe46a0a4ed149a91ac269eb93684a7d5ceb5df8)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
175844fcf0SLinJiaweipackage xiangshan.backend.regfile
185844fcf0SLinJiawei
198891a219SYinan Xuimport org.chipsalliance.cde.config.Parameters
205844fcf0SLinJiaweiimport chisel3._
215844fcf0SLinJiaweiimport chisel3.util._
225844fcf0SLinJiaweiimport xiangshan._
232aa3a761Ssinsanctionimport xiangshan.backend.datapath.DataConfig._
2439c59369SXuan Huimport xiangshan.backend.exu.ExeUnitParams
255844fcf0SLinJiawei
26027c9765SXuan Huclass RfReadPort(dataWidth: Int, addrWidth: Int) extends Bundle {
279ab1568eSczw  val addr = Input(UInt(addrWidth.W))
289ab1568eSczw  val data = Output(UInt(dataWidth.W))
295844fcf0SLinJiawei}
305844fcf0SLinJiawei
31027c9765SXuan Huclass RfWritePort(dataWidth: Int, addrWidth: Int) extends Bundle {
325844fcf0SLinJiawei  val wen = Input(Bool())
339ab1568eSczw  val addr = Input(UInt(addrWidth.W))
349ab1568eSczw  val data = Input(UInt(dataWidth.W))
355844fcf0SLinJiawei}
365844fcf0SLinJiawei
37730cfbc0SXuan Huclass RfReadPortWithConfig(val rfReadDataCfg: DataConfig, addrWidth: Int) extends Bundle {
38730cfbc0SXuan Hu  val addr: UInt = Input(UInt(addrWidth.W))
39730cfbc0SXuan Hu  val srcType: UInt = Input(UInt(3.W))
40730cfbc0SXuan Hu
41b6b11f60SXuan Hu  def readInt: Boolean = IntRegSrcDataSet.contains(rfReadDataCfg)
42b6b11f60SXuan Hu  def readFp : Boolean = FpRegSrcDataSet .contains(rfReadDataCfg)
43b6b11f60SXuan Hu  def readVec: Boolean = VecRegSrcDataSet.contains(rfReadDataCfg)
44*fbe46a0aSxiaofeibao  def readVf : Boolean = VecRegSrcDataSet .contains(rfReadDataCfg)
45730cfbc0SXuan Hu}
46730cfbc0SXuan Hu
47730cfbc0SXuan Huclass RfWritePortWithConfig(val rfWriteDataCfg: DataConfig, addrWidth: Int) extends Bundle {
48730cfbc0SXuan Hu  val wen = Input(Bool())
49730cfbc0SXuan Hu  val addr = Input(UInt(addrWidth.W))
50730cfbc0SXuan Hu  val data = Input(UInt(rfWriteDataCfg.dataWidth.W))
51730cfbc0SXuan Hu  val intWen = Input(Bool())
52730cfbc0SXuan Hu  val fpWen = Input(Bool())
53730cfbc0SXuan Hu  val vecWen = Input(Bool())
542aa3a761Ssinsanction  val v0Wen = Input(Bool())
552aa3a761Ssinsanction  val vlWen = Input(Bool())
56730cfbc0SXuan Hu  def writeInt: Boolean = rfWriteDataCfg.isInstanceOf[IntData]
57730cfbc0SXuan Hu  def writeFp : Boolean = rfWriteDataCfg.isInstanceOf[FpData]
58730cfbc0SXuan Hu  def writeVec: Boolean = rfWriteDataCfg.isInstanceOf[VecData]
5907b5cc60Sxiaofeibao  def writeV0 : Boolean = rfWriteDataCfg.isInstanceOf[V0Data]
6007b5cc60Sxiaofeibao  def writeVl : Boolean = rfWriteDataCfg.isInstanceOf[VlData]
61730cfbc0SXuan Hu}
62730cfbc0SXuan Hu
635844fcf0SLinJiaweiclass Regfile
645844fcf0SLinJiawei(
65a1ca6e15SZhangZifei  name: String,
66027c9765SXuan Hu  numPregs: Int,
675844fcf0SLinJiawei  numReadPorts: Int,
6893b61a80SYinan Xu  numWritePorts: Int,
699684eb4fSLinJiawei  hasZero: Boolean,
7073faecdcSXuan Hu  len: Int,
7173faecdcSXuan Hu  width: Int,
72b8ca25cbSxiaofeibao-xjtu  bankNum: Int = 1,
73027c9765SXuan Hu) extends Module {
745844fcf0SLinJiawei  val io = IO(new Bundle() {
7573faecdcSXuan Hu    val readPorts = Vec(numReadPorts, new RfReadPort(len, width))
7673faecdcSXuan Hu    val writePorts = Vec(numWritePorts, new RfWritePort(len, width))
77a8db15d8Sfdy    val debug_rports = Vec(65, new RfReadPort(len, width))
785844fcf0SLinJiawei  })
790c701001SLinJiawei
80027c9765SXuan Hu  println(name + ": size:" + numPregs + " read: " + numReadPorts + " write: " + numWritePorts)
8105f23f57SWilliam Wang
82027c9765SXuan Hu  val mem = Reg(Vec(numPregs, UInt(len.W)))
8319203128Sxiaofeibao-xjtu  require(Seq(1, 2, 4).contains(bankNum), "bankNum must be 1 or 2 or 4")
840c701001SLinJiawei  for (r <- io.readPorts) {
85b8ca25cbSxiaofeibao-xjtu    if (bankNum == 1) {
866e8ad5a5Sxiaofeibao-xjtu      r.data := mem(RegNext(r.addr))
870c701001SLinJiawei    }
88b8ca25cbSxiaofeibao-xjtu    else {
89b8ca25cbSxiaofeibao-xjtu      val banks = (0 until bankNum).map { case i =>
90b8ca25cbSxiaofeibao-xjtu        mem.zipWithIndex.filter{ case (m, index) => (index % bankNum) == i }.map(_._1)
91b8ca25cbSxiaofeibao-xjtu      }
92b8ca25cbSxiaofeibao-xjtu      val bankWidth = bankNum.U.getWidth - 1
93b8ca25cbSxiaofeibao-xjtu      val hitBankWire = VecInit((0 until bankNum).map { case i => r.addr(bankWidth - 1, 0) === i.U })
94b8ca25cbSxiaofeibao-xjtu      val hitBankReg = Reg(Vec(bankNum, Bool()))
95b8ca25cbSxiaofeibao-xjtu      hitBankReg := hitBankWire
96b8ca25cbSxiaofeibao-xjtu      val banksRdata = Wire(Vec(bankNum, UInt(len.W)))
97b8ca25cbSxiaofeibao-xjtu      for (i <- 0 until bankNum) {
98b8ca25cbSxiaofeibao-xjtu        banksRdata(i) := RegEnable(VecInit(banks(i))(r.addr(r.addr.getWidth - 1, bankWidth)), hitBankWire(i))
99b8ca25cbSxiaofeibao-xjtu      }
100b8ca25cbSxiaofeibao-xjtu      r.data := Mux1H(hitBankReg, banksRdata)
101b8ca25cbSxiaofeibao-xjtu    }
102b8ca25cbSxiaofeibao-xjtu  }
1031e6c281aSxiaofeibao-xjtu  val writePorts = io.writePorts
1041e6c281aSxiaofeibao-xjtu  for (i <- writePorts.indices) {
1051e6c281aSxiaofeibao-xjtu    if (i < writePorts.size-1) {
1061e6c281aSxiaofeibao-xjtu      val hasSameWrite = writePorts.drop(i + 1).map(w => w.wen && w.addr === writePorts(i).addr && writePorts(i).wen).reduce(_ || _)
1071e6c281aSxiaofeibao-xjtu      assert(!hasSameWrite, "RegFile two or more writePorts write same addr")
1081e6c281aSxiaofeibao-xjtu    }
1091e6c281aSxiaofeibao-xjtu  }
1101e6c281aSxiaofeibao-xjtu  for (i <- mem.indices) {
1111e6c281aSxiaofeibao-xjtu    if (hasZero && i == 0) {
1121e6c281aSxiaofeibao-xjtu      mem(i) := 0.U
1131e6c281aSxiaofeibao-xjtu    }
1141e6c281aSxiaofeibao-xjtu    else {
1151e6c281aSxiaofeibao-xjtu      val wenOH = VecInit(io.writePorts.map(w => w.wen && w.addr === i.U))
1161e6c281aSxiaofeibao-xjtu      val wData = Mux1H(wenOH, io.writePorts.map(_.data))
1171e6c281aSxiaofeibao-xjtu      when(wenOH.asUInt.orR) {
1181e6c281aSxiaofeibao-xjtu        mem(i) := wData
1191e6c281aSxiaofeibao-xjtu      }
1200c701001SLinJiawei    }
1210c701001SLinJiawei  }
1226624015fSLinJiawei
1232225d46eSJiawei Lin  for (rport <- io.debug_rports) {
1242225d46eSJiawei Lin    val zero_rdata = Mux(rport.addr === 0.U, 0.U, mem(rport.addr))
1252225d46eSJiawei Lin    rport.data := (if (hasZero) zero_rdata else mem(rport.addr))
126adb5df20SYinan Xu  }
1275844fcf0SLinJiawei}
12844dead2fSZhangZifei
12993b61a80SYinan Xuobject Regfile {
130730cfbc0SXuan Hu  // non-return version
13193b61a80SYinan Xu  def apply(
132a1ca6e15SZhangZifei    name         : String,
13393b61a80SYinan Xu    numEntries   : Int,
13493b61a80SYinan Xu    raddr        : Seq[UInt],
135730cfbc0SXuan Hu    rdata        : Vec[UInt],
13693b61a80SYinan Xu    wen          : Seq[Bool],
13793b61a80SYinan Xu    waddr        : Seq[UInt],
13893b61a80SYinan Xu    wdata        : Seq[UInt],
13993b61a80SYinan Xu    hasZero      : Boolean,
1407154d65eSYinan Xu    withReset    : Boolean = false,
141b8ca25cbSxiaofeibao-xjtu    bankNum      : Int = 1,
142730cfbc0SXuan Hu    debugReadAddr: Option[Seq[UInt]],
143730cfbc0SXuan Hu    debugReadData: Option[Vec[UInt]],
144730cfbc0SXuan Hu  )(implicit p: Parameters): Unit = {
14593b61a80SYinan Xu    val numReadPorts = raddr.length
14693b61a80SYinan Xu    val numWritePorts = wen.length
14793b61a80SYinan Xu    require(wen.length == waddr.length)
14893b61a80SYinan Xu    require(wen.length == wdata.length)
14993b61a80SYinan Xu    val dataBits = wdata.map(_.getWidth).min
15093b61a80SYinan Xu    require(wdata.map(_.getWidth).min == wdata.map(_.getWidth).max, s"dataBits != $dataBits")
15173faecdcSXuan Hu    val addrBits = waddr.map(_.getWidth).min
15273faecdcSXuan Hu    require(waddr.map(_.getWidth).min == waddr.map(_.getWidth).max, s"addrBits != $addrBits")
15373faecdcSXuan Hu
154b8ca25cbSxiaofeibao-xjtu    val regfile = Module(new Regfile(name, numEntries, numReadPorts, numWritePorts, hasZero, dataBits, addrBits, bankNum))
155730cfbc0SXuan Hu    rdata := regfile.io.readPorts.zip(raddr).map { case (rport, addr) =>
15693b61a80SYinan Xu      rport.addr := addr
15793b61a80SYinan Xu      rport.data
15844dead2fSZhangZifei    }
15973faecdcSXuan Hu
16093b61a80SYinan Xu    regfile.io.writePorts.zip(wen).zip(waddr).zip(wdata).foreach{ case (((wport, en), addr), data) =>
16193b61a80SYinan Xu      wport.wen := en
16293b61a80SYinan Xu      wport.addr := addr
16393b61a80SYinan Xu      wport.data := data
164067dba72SLinJiawei    }
16593b61a80SYinan Xu    if (withReset) {
16693b61a80SYinan Xu      val numResetCycles = math.ceil(numEntries / numWritePorts).toInt
16793b61a80SYinan Xu      val resetCounter = RegInit(numResetCycles.U)
16893b61a80SYinan Xu      val resetWaddr = RegInit(VecInit((0 until numWritePorts).map(_.U(log2Up(numEntries + 1).W))))
16993b61a80SYinan Xu      val inReset = resetCounter =/= 0.U
17093b61a80SYinan Xu      when (inReset) {
17193b61a80SYinan Xu        resetCounter := resetCounter - 1.U
17293b61a80SYinan Xu        resetWaddr := VecInit(resetWaddr.map(_ + numWritePorts.U))
17393b61a80SYinan Xu      }
17493b61a80SYinan Xu      when (!inReset) {
17593b61a80SYinan Xu        resetWaddr.map(_ := 0.U)
17693b61a80SYinan Xu      }
17793b61a80SYinan Xu      for ((wport, i) <- regfile.io.writePorts.zipWithIndex) {
17893b61a80SYinan Xu        wport.wen := inReset || wen(i)
17993b61a80SYinan Xu        wport.addr := Mux(inReset, resetWaddr(i), waddr(i))
18093b61a80SYinan Xu        wport.data := wdata(i)
18193b61a80SYinan Xu      }
18293b61a80SYinan Xu    }
183730cfbc0SXuan Hu
184730cfbc0SXuan Hu    require(debugReadAddr.nonEmpty == debugReadData.nonEmpty, "Both debug addr and data bundles should be empty or not")
18593b61a80SYinan Xu    regfile.io.debug_rports := DontCare
186730cfbc0SXuan Hu    if (debugReadAddr.nonEmpty && debugReadData.nonEmpty) {
187730cfbc0SXuan Hu      debugReadData.get := VecInit(regfile.io.debug_rports.zip(debugReadAddr.get).map { case (rport, addr) =>
18893b61a80SYinan Xu        rport.addr := addr
18993b61a80SYinan Xu        rport.data
190730cfbc0SXuan Hu      })
19193b61a80SYinan Xu    }
19293b61a80SYinan Xu  }
19393b61a80SYinan Xu}
19473faecdcSXuan Hu
19573faecdcSXuan Huobject IntRegFile {
196730cfbc0SXuan Hu  // non-return version
19773faecdcSXuan Hu  def apply(
198a1ca6e15SZhangZifei    name         : String,
19973faecdcSXuan Hu    numEntries   : Int,
20073faecdcSXuan Hu    raddr        : Seq[UInt],
201730cfbc0SXuan Hu    rdata        : Vec[UInt],
20273faecdcSXuan Hu    wen          : Seq[Bool],
20373faecdcSXuan Hu    waddr        : Seq[UInt],
20473faecdcSXuan Hu    wdata        : Seq[UInt],
205730cfbc0SXuan Hu    debugReadAddr: Option[Seq[UInt]],
206730cfbc0SXuan Hu    debugReadData: Option[Vec[UInt]],
20773faecdcSXuan Hu    withReset    : Boolean = false,
208b8ca25cbSxiaofeibao-xjtu    bankNum      : Int,
209730cfbc0SXuan Hu  )(implicit p: Parameters): Unit = {
21073faecdcSXuan Hu    Regfile(
211730cfbc0SXuan Hu      name, numEntries, raddr, rdata, wen, waddr, wdata,
212b8ca25cbSxiaofeibao-xjtu      hasZero = true, withReset, bankNum, debugReadAddr, debugReadData)
21373faecdcSXuan Hu  }
21473faecdcSXuan Hu}
21573faecdcSXuan Hu
21660f0c5aeSxiaofeibaoobject FpRegFile {
21760f0c5aeSxiaofeibao  // non-return version
21860f0c5aeSxiaofeibao  def apply(
21960f0c5aeSxiaofeibao             name         : String,
22060f0c5aeSxiaofeibao             numEntries   : Int,
22160f0c5aeSxiaofeibao             raddr        : Seq[UInt],
22260f0c5aeSxiaofeibao             rdata        : Vec[UInt],
22360f0c5aeSxiaofeibao             wen          : Seq[Bool],
22460f0c5aeSxiaofeibao             waddr        : Seq[UInt],
22560f0c5aeSxiaofeibao             wdata        : Seq[UInt],
22660f0c5aeSxiaofeibao             debugReadAddr: Option[Seq[UInt]],
22760f0c5aeSxiaofeibao             debugReadData: Option[Vec[UInt]],
22860f0c5aeSxiaofeibao             withReset    : Boolean = false,
22960f0c5aeSxiaofeibao             bankNum      : Int,
23060f0c5aeSxiaofeibao           )(implicit p: Parameters): Unit = {
23160f0c5aeSxiaofeibao    Regfile(
23260f0c5aeSxiaofeibao      name, numEntries, raddr, rdata, wen, waddr, wdata,
23360f0c5aeSxiaofeibao      hasZero = false, withReset, bankNum, debugReadAddr, debugReadData)
23460f0c5aeSxiaofeibao  }
23560f0c5aeSxiaofeibao}
23660f0c5aeSxiaofeibao
23773faecdcSXuan Huobject VfRegFile {
238730cfbc0SXuan Hu  // non-return version
23973faecdcSXuan Hu  def apply(
240a1ca6e15SZhangZifei    name         : String,
24173faecdcSXuan Hu    numEntries   : Int,
24273faecdcSXuan Hu    splitNum     : Int,
24373faecdcSXuan Hu    raddr        : Seq[UInt],
244730cfbc0SXuan Hu    rdata        : Vec[UInt],
24573faecdcSXuan Hu    wen          : Seq[Seq[Bool]],
24673faecdcSXuan Hu    waddr        : Seq[UInt],
24773faecdcSXuan Hu    wdata        : Seq[UInt],
248730cfbc0SXuan Hu    debugReadAddr: Option[Seq[UInt]],
249730cfbc0SXuan Hu    debugReadData: Option[Vec[UInt]],
25073faecdcSXuan Hu    withReset    : Boolean = false,
251730cfbc0SXuan Hu  )(implicit p: Parameters): Unit = {
25273faecdcSXuan Hu    require(splitNum >= 1, "splitNum should be no less than 1")
25373faecdcSXuan Hu    require(splitNum == wen.length, "splitNum should be equal to length of wen vec")
25473faecdcSXuan Hu    if (splitNum == 1) {
255730cfbc0SXuan Hu      Regfile(
256730cfbc0SXuan Hu        name, numEntries, raddr, rdata, wen.head, waddr, wdata,
257b8ca25cbSxiaofeibao-xjtu        hasZero = false, withReset, bankNum = 1, debugReadAddr, debugReadData)
258761d728dSZhangZifei    } else {
25973faecdcSXuan Hu      val dataWidth = 64
260730cfbc0SXuan Hu      val numReadPorts = raddr.length
26173faecdcSXuan Hu      require(splitNum > 1 && wdata.head.getWidth == dataWidth * splitNum)
26273faecdcSXuan Hu      val wdataVec = Wire(Vec(splitNum, Vec(wdata.length, UInt(dataWidth.W))))
263730cfbc0SXuan Hu      val rdataVec = Wire(Vec(splitNum, Vec(raddr.length, UInt(dataWidth.W))))
264730cfbc0SXuan Hu      val debugRDataVec: Option[Vec[Vec[UInt]]] = debugReadData.map(x => Wire(Vec(splitNum, Vec(x.length, UInt(dataWidth.W)))))
26573faecdcSXuan Hu      for (i <- 0 until splitNum) {
26673faecdcSXuan Hu        wdataVec(i) := wdata.map(_ ((i + 1) * dataWidth - 1, i * dataWidth))
267730cfbc0SXuan Hu        Regfile(
268730cfbc0SXuan Hu          name + s"Part${i}", numEntries, raddr, rdataVec(i), wen(i), waddr, wdataVec(i),
269b8ca25cbSxiaofeibao-xjtu          hasZero = false, withReset, bankNum = 1, debugReadAddr, debugRDataVec.map(_(i))
270730cfbc0SXuan Hu        )
27173faecdcSXuan Hu      }
27273faecdcSXuan Hu      for (i <- 0 until rdata.length) {
273761d728dSZhangZifei        rdata(i) := Cat(rdataVec.map(_ (i)).reverse)
27473faecdcSXuan Hu      }
275730cfbc0SXuan Hu      if (debugReadData.nonEmpty) {
276730cfbc0SXuan Hu        for (i <- 0 until debugReadData.get.length) {
277730cfbc0SXuan Hu          debugReadData.get(i) := Cat(debugRDataVec.get.map(_ (i)).reverse)
278730cfbc0SXuan Hu        }
279730cfbc0SXuan Hu      }
28073faecdcSXuan Hu    }
281761d728dSZhangZifei  }
28273faecdcSXuan Hu}