xref: /XiangShan/src/main/scala/xiangshan/backend/regfile/Regfile.scala (revision ebd10a1f088c06c06f2a579d3d97bade7077d0ca)
15844fcf0SLinJiaweipackage xiangshan.backend.regfile
25844fcf0SLinJiawei
35844fcf0SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
55844fcf0SLinJiaweiimport xiangshan._
65844fcf0SLinJiawei
7*ebd10a1fSYinan Xuclass RfReadPort(len: Int) extends XSBundle {
85844fcf0SLinJiawei  val addr = Input(UInt(PhyRegIdxWidth.W))
9*ebd10a1fSYinan Xu  val data = Output(UInt(len.W))
10*ebd10a1fSYinan Xu  override def cloneType: RfReadPort.this.type =
11*ebd10a1fSYinan Xu    new RfReadPort(len).asInstanceOf[this.type]
125844fcf0SLinJiawei}
135844fcf0SLinJiawei
14*ebd10a1fSYinan Xuclass RfWritePort(len: Int) extends XSBundle {
155844fcf0SLinJiawei  val wen = Input(Bool())
165844fcf0SLinJiawei  val addr = Input(UInt(PhyRegIdxWidth.W))
17*ebd10a1fSYinan Xu  val data = Input(UInt(len.W))
18*ebd10a1fSYinan Xu  override def cloneType: RfWritePort.this.type =
19*ebd10a1fSYinan Xu    new RfWritePort(len).asInstanceOf[this.type]
205844fcf0SLinJiawei}
215844fcf0SLinJiawei
225844fcf0SLinJiaweiclass Regfile
235844fcf0SLinJiawei(
245844fcf0SLinJiawei  numReadPorts: Int,
255844fcf0SLinJiawei  numWirtePorts: Int,
269684eb4fSLinJiawei  hasZero: Boolean,
279684eb4fSLinJiawei  len: Int
280c701001SLinJiawei) extends XSModule {
295844fcf0SLinJiawei  val io = IO(new Bundle() {
30*ebd10a1fSYinan Xu    val readPorts = Vec(numReadPorts, new RfReadPort(len))
31*ebd10a1fSYinan Xu    val writePorts = Vec(numWirtePorts, new RfWritePort(len))
325844fcf0SLinJiawei  })
330c701001SLinJiawei
34*ebd10a1fSYinan Xu  val useBlackBox = false
35*ebd10a1fSYinan Xu  if (!useBlackBox) {
369684eb4fSLinJiawei    val mem = Mem(NRPhyRegs, UInt(len.W))
370c701001SLinJiawei    for (r <- io.readPorts) {
38*ebd10a1fSYinan Xu      val raddr_reg = RegNext(r.addr)
39*ebd10a1fSYinan Xu      val rdata = if (hasZero) Mux(raddr_reg === 0.U, 0.U, mem(raddr_reg)) else mem(raddr_reg)
40*ebd10a1fSYinan Xu      r.data := rdata
410c701001SLinJiawei    }
420c701001SLinJiawei    for (w <- io.writePorts) {
430c701001SLinJiawei      when(w.wen) {
440c701001SLinJiawei        mem(w.addr) := w.data
450c701001SLinJiawei      }
460c701001SLinJiawei    }
476624015fSLinJiawei
48*ebd10a1fSYinan Xu    if (!env.FPGAPlatform) {
4980d24142SLinJiawei      val debugArchRat = WireInit(VecInit(Seq.fill(32)(0.U(PhyRegIdxWidth.W))))
5089722029SLinJiawei      ExcitingUtils.addSink(
5189722029SLinJiawei        debugArchRat,
5289722029SLinJiawei        if(hasZero) "DEBUG_INI_ARCH_RAT" else "DEBUG_FP_ARCH_RAT",
5389722029SLinJiawei        ExcitingUtils.Debug
5489722029SLinJiawei      )
5580d24142SLinJiawei
5689722029SLinJiawei      val debugArchReg = WireInit(VecInit(debugArchRat.zipWithIndex.map(
5789722029SLinJiawei        x => if(hasZero && x._2==0) 0.U else mem(x._1)
5889722029SLinJiawei      )))
5989722029SLinJiawei      ExcitingUtils.addSource(
6089722029SLinJiawei        debugArchReg,
6189722029SLinJiawei        if(hasZero) "DEBUG_INT_ARCH_REG" else "DEBUG_FP_ARCH_REG",
6289722029SLinJiawei        ExcitingUtils.Debug
6389722029SLinJiawei      )
64*ebd10a1fSYinan Xu    }
65067dba72SLinJiawei  } else {
66067dba72SLinJiawei
67af5cf0d1SYinan Xu    val regfile = Module(new regfile_160x64_10w16r_sim)
68067dba72SLinJiawei
69067dba72SLinJiawei    regfile.io.clk := this.clock
70067dba72SLinJiawei    regfile.io.gpr := hasZero.B
71067dba72SLinJiawei
72067dba72SLinJiawei    regfile.io.wen0   := io.writePorts(0).wen
73067dba72SLinJiawei    regfile.io.waddr0 := io.writePorts(0).addr
74067dba72SLinJiawei    regfile.io.wdata0 := io.writePorts(0).data
75067dba72SLinJiawei
76067dba72SLinJiawei    regfile.io.wen1   := io.writePorts(1).wen
77067dba72SLinJiawei    regfile.io.waddr1 := io.writePorts(1).addr
78067dba72SLinJiawei    regfile.io.wdata1 := io.writePorts(1).data
79067dba72SLinJiawei
80067dba72SLinJiawei    regfile.io.wen2   := io.writePorts(2).wen
81067dba72SLinJiawei    regfile.io.waddr2 := io.writePorts(2).addr
82067dba72SLinJiawei    regfile.io.wdata2 := io.writePorts(2).data
83067dba72SLinJiawei
84067dba72SLinJiawei    regfile.io.wen3   := io.writePorts(3).wen
85067dba72SLinJiawei    regfile.io.waddr3 := io.writePorts(3).addr
86067dba72SLinJiawei    regfile.io.wdata3 := io.writePorts(3).data
87067dba72SLinJiawei
88067dba72SLinJiawei    regfile.io.wen4   := io.writePorts(4).wen
89067dba72SLinJiawei    regfile.io.waddr4 := io.writePorts(4).addr
90067dba72SLinJiawei    regfile.io.wdata4 := io.writePorts(4).data
91067dba72SLinJiawei
92067dba72SLinJiawei    regfile.io.wen5   := io.writePorts(5).wen
93067dba72SLinJiawei    regfile.io.waddr5 := io.writePorts(5).addr
94067dba72SLinJiawei    regfile.io.wdata5 := io.writePorts(5).data
95067dba72SLinJiawei
96067dba72SLinJiawei    regfile.io.wen6   := io.writePorts(6).wen
97067dba72SLinJiawei    regfile.io.waddr6 := io.writePorts(6).addr
98067dba72SLinJiawei    regfile.io.wdata6 := io.writePorts(6).data
99067dba72SLinJiawei
100067dba72SLinJiawei    regfile.io.wen7   := io.writePorts(7).wen
101067dba72SLinJiawei    regfile.io.waddr7 := io.writePorts(7).addr
102067dba72SLinJiawei    regfile.io.wdata7 := io.writePorts(7).data
103067dba72SLinJiawei
104067dba72SLinJiawei    regfile.io.wen8   := false.B   //io.writePorts(8).wen
105067dba72SLinJiawei    regfile.io.waddr8 := DontCare  //io.writePorts(8).addr
106067dba72SLinJiawei    regfile.io.wdata8 := DontCare  //io.writePorts(8).data
107067dba72SLinJiawei
108067dba72SLinJiawei    regfile.io.wen9   := false.B   //io.writePorts(9).wen
109067dba72SLinJiawei    regfile.io.waddr9 := DontCare  //io.writePorts(9).addr
110067dba72SLinJiawei    regfile.io.wdata9 := DontCare  //io.writePorts(9).data
111067dba72SLinJiawei
112067dba72SLinJiawei
113067dba72SLinJiawei    regfile.io.raddr0  := io.readPorts(0).addr
114067dba72SLinJiawei    regfile.io.raddr1  := io.readPorts(1).addr
115067dba72SLinJiawei    regfile.io.raddr2  := io.readPorts(2).addr
116067dba72SLinJiawei    regfile.io.raddr3  := io.readPorts(3).addr
117067dba72SLinJiawei    regfile.io.raddr4  := io.readPorts(4).addr
118067dba72SLinJiawei    regfile.io.raddr5  := io.readPorts(5).addr
119067dba72SLinJiawei    regfile.io.raddr6  := io.readPorts(6).addr
120067dba72SLinJiawei    regfile.io.raddr7  := io.readPorts(7).addr
121067dba72SLinJiawei    regfile.io.raddr8  := io.readPorts(8).addr
122067dba72SLinJiawei    regfile.io.raddr9  := io.readPorts(9).addr
123067dba72SLinJiawei    regfile.io.raddr10 := io.readPorts(10).addr
124067dba72SLinJiawei    regfile.io.raddr11 := io.readPorts(11).addr
125067dba72SLinJiawei    regfile.io.raddr12 := io.readPorts(12).addr
126067dba72SLinJiawei    regfile.io.raddr13 := io.readPorts(13).addr
127067dba72SLinJiawei    regfile.io.raddr14 := DontCare //io.readPorts(14).addr
128067dba72SLinJiawei    regfile.io.raddr15 := DontCare //io.readPorts(15).addr
129067dba72SLinJiawei
130067dba72SLinJiawei    io.readPorts(0).data := regfile.io.rdata0
131067dba72SLinJiawei    io.readPorts(1).data := regfile.io.rdata1
132067dba72SLinJiawei    io.readPorts(2).data := regfile.io.rdata2
133067dba72SLinJiawei    io.readPorts(3).data := regfile.io.rdata3
134067dba72SLinJiawei    io.readPorts(4).data := regfile.io.rdata4
135067dba72SLinJiawei    io.readPorts(5).data := regfile.io.rdata5
136067dba72SLinJiawei    io.readPorts(6).data := regfile.io.rdata6
137067dba72SLinJiawei    io.readPorts(7).data := regfile.io.rdata7
138067dba72SLinJiawei    io.readPorts(8).data := regfile.io.rdata8
139067dba72SLinJiawei    io.readPorts(9).data := regfile.io.rdata9
140067dba72SLinJiawei    io.readPorts(10).data := regfile.io.rdata10
141067dba72SLinJiawei    io.readPorts(11).data := regfile.io.rdata11
142067dba72SLinJiawei    io.readPorts(12).data := regfile.io.rdata12
143067dba72SLinJiawei    io.readPorts(13).data := regfile.io.rdata13
1445844fcf0SLinJiawei  }
14544dead2fSZhangZifei
14644dead2fSZhangZifei}
147067dba72SLinJiawei
148af5cf0d1SYinan Xuclass regfile_160x64_10w16r_sim extends BlackBox with HasBlackBoxResource {
149067dba72SLinJiawei
150067dba72SLinJiawei  val io = IO(new Bundle{
151067dba72SLinJiawei    val clk = Input(Clock())
152067dba72SLinJiawei    val gpr = Input(Bool())
153067dba72SLinJiawei
154067dba72SLinJiawei    // write
155067dba72SLinJiawei    val wen0, wen1, wen2, wen3, wen4, wen5, wen6, wen7, wen8, wen9 = Input(Bool())
156067dba72SLinJiawei    val waddr0, waddr1, waddr2, waddr3, waddr4, waddr5, waddr6, waddr7, waddr8, waddr9 = Input(UInt(8.W))
157067dba72SLinJiawei    val wdata0, wdata1, wdata2, wdata3, wdata4, wdata5, wdata6, wdata7, wdata8, wdata9 = Input(UInt(64.W))
158067dba72SLinJiawei
159067dba72SLinJiawei    // read
160067dba72SLinJiawei    val raddr0, raddr1, raddr2, raddr3, raddr4, raddr5, raddr6, raddr7 = Input(UInt(8.W))
161067dba72SLinJiawei    val raddr8, raddr9, raddr10, raddr11, raddr12, raddr13, raddr14, raddr15 = Input(UInt(8.W))
162067dba72SLinJiawei    val rdata0, rdata1, rdata2, rdata3, rdata4, rdata5, rdata6, rdata7 = Output(UInt(64.W))
163067dba72SLinJiawei    val rdata8, rdata9, rdata10, rdata11, rdata12, rdata13, rdata14, rdata15 = Output(UInt(64.W))
164067dba72SLinJiawei  })
165067dba72SLinJiawei
166067dba72SLinJiawei  val vsrc = "/vsrc/regfile_160x64_10w16r_sim.v"
167067dba72SLinJiawei  println(s"Regfile: Using verilog source at: $vsrc")
168067dba72SLinJiawei  setResource(vsrc)
169067dba72SLinJiawei
170067dba72SLinJiawei}
171067dba72SLinJiawei
172