xref: /XiangShan/src/main/scala/xiangshan/backend/regfile/Regfile.scala (revision 93b61a80fde4fea6fa320f74997823b340d24bff)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
175844fcf0SLinJiaweipackage xiangshan.backend.regfile
185844fcf0SLinJiawei
192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
205844fcf0SLinJiaweiimport chisel3._
21510ae4eeSJiuyang Liuimport chisel3.experimental.ExtModule
225844fcf0SLinJiaweiimport chisel3.util._
235844fcf0SLinJiaweiimport xiangshan._
245844fcf0SLinJiawei
252225d46eSJiawei Linclass RfReadPort(len: Int)(implicit p: Parameters) extends XSBundle {
265844fcf0SLinJiawei  val addr = Input(UInt(PhyRegIdxWidth.W))
27ebd10a1fSYinan Xu  val data = Output(UInt(len.W))
28ebd10a1fSYinan Xu  override def cloneType: RfReadPort.this.type =
29ebd10a1fSYinan Xu    new RfReadPort(len).asInstanceOf[this.type]
305844fcf0SLinJiawei}
315844fcf0SLinJiawei
322225d46eSJiawei Linclass RfWritePort(len: Int)(implicit p: Parameters) extends XSBundle {
335844fcf0SLinJiawei  val wen = Input(Bool())
345844fcf0SLinJiawei  val addr = Input(UInt(PhyRegIdxWidth.W))
35ebd10a1fSYinan Xu  val data = Input(UInt(len.W))
36ebd10a1fSYinan Xu  override def cloneType: RfWritePort.this.type =
37ebd10a1fSYinan Xu    new RfWritePort(len).asInstanceOf[this.type]
385844fcf0SLinJiawei}
395844fcf0SLinJiawei
405844fcf0SLinJiaweiclass Regfile
415844fcf0SLinJiawei(
425844fcf0SLinJiawei  numReadPorts: Int,
43*93b61a80SYinan Xu  numWritePorts: Int,
449684eb4fSLinJiawei  hasZero: Boolean,
459684eb4fSLinJiawei  len: Int
462225d46eSJiawei Lin)(implicit p: Parameters) extends XSModule {
475844fcf0SLinJiawei  val io = IO(new Bundle() {
48ebd10a1fSYinan Xu    val readPorts = Vec(numReadPorts, new RfReadPort(len))
49*93b61a80SYinan Xu    val writePorts = Vec(numWritePorts, new RfWritePort(len))
502225d46eSJiawei Lin    val debug_rports = Vec(32, new RfReadPort(len))
515844fcf0SLinJiawei  })
520c701001SLinJiawei
53*93b61a80SYinan Xu  println("Regfile: size:" + NRPhyRegs + " read: " + numReadPorts + " write: " + numWritePorts)
5405f23f57SWilliam Wang
55fc8a3b3fSljw  val mem = Reg(Vec(NRPhyRegs, UInt(len.W)))
560c701001SLinJiawei  for (r <- io.readPorts) {
57b441ea13SYikeZhou    val rdata = if (hasZero) Mux(r.addr === 0.U, 0.U, mem(r.addr)) else mem(r.addr)
58b441ea13SYikeZhou    r.data := RegNext(rdata)
590c701001SLinJiawei  }
600c701001SLinJiawei  for (w <- io.writePorts) {
610c701001SLinJiawei    when(w.wen) {
620c701001SLinJiawei      mem(w.addr) := w.data
630c701001SLinJiawei    }
640c701001SLinJiawei  }
656624015fSLinJiawei
662225d46eSJiawei Lin  for (rport <- io.debug_rports) {
672225d46eSJiawei Lin    val zero_rdata = Mux(rport.addr === 0.U, 0.U, mem(rport.addr))
682225d46eSJiawei Lin    rport.data := (if (hasZero) zero_rdata else mem(rport.addr))
69adb5df20SYinan Xu  }
705844fcf0SLinJiawei}
7144dead2fSZhangZifei
72*93b61a80SYinan Xuobject Regfile {
73*93b61a80SYinan Xu  def apply(
74*93b61a80SYinan Xu    numEntries: Int,
75*93b61a80SYinan Xu    raddr: Seq[UInt],
76*93b61a80SYinan Xu    wen: Seq[Bool],
77*93b61a80SYinan Xu    waddr: Seq[UInt],
78*93b61a80SYinan Xu    wdata: Seq[UInt],
79*93b61a80SYinan Xu    hasZero: Boolean,
80*93b61a80SYinan Xu    withReset: Boolean = true,
81*93b61a80SYinan Xu    debugRead: Option[Seq[UInt]] = None
82*93b61a80SYinan Xu  )(implicit p: Parameters): Seq[UInt] = {
83*93b61a80SYinan Xu    val numReadPorts = raddr.length
84*93b61a80SYinan Xu    val numWritePorts = wen.length
85*93b61a80SYinan Xu    require(wen.length == waddr.length)
86*93b61a80SYinan Xu    require(wen.length == wdata.length)
87*93b61a80SYinan Xu    val dataBits = wdata.map(_.getWidth).min
88*93b61a80SYinan Xu    require(wdata.map(_.getWidth).min == wdata.map(_.getWidth).max, s"dataBits != $dataBits")
89*93b61a80SYinan Xu    val regfile = Module(new Regfile(numReadPorts, numWritePorts, hasZero, dataBits))
90*93b61a80SYinan Xu    val rdata = regfile.io.readPorts.zip(raddr).map { case (rport, addr) =>
91*93b61a80SYinan Xu      rport.addr := addr
92*93b61a80SYinan Xu      rport.data
9344dead2fSZhangZifei    }
94*93b61a80SYinan Xu    regfile.io.writePorts.zip(wen).zip(waddr).zip(wdata).foreach{ case (((wport, en), addr), data) =>
95*93b61a80SYinan Xu      wport.wen := en
96*93b61a80SYinan Xu      wport.addr := addr
97*93b61a80SYinan Xu      wport.data := data
98067dba72SLinJiawei    }
99*93b61a80SYinan Xu    if (withReset) {
100*93b61a80SYinan Xu      val numResetCycles = math.ceil(numEntries / numWritePorts).toInt
101*93b61a80SYinan Xu      val resetCounter = RegInit(numResetCycles.U)
102*93b61a80SYinan Xu      val resetWaddr = RegInit(VecInit((0 until numWritePorts).map(_.U(log2Up(numEntries + 1).W))))
103*93b61a80SYinan Xu      val inReset = resetCounter =/= 0.U
104*93b61a80SYinan Xu      when (inReset) {
105*93b61a80SYinan Xu        resetCounter := resetCounter - 1.U
106*93b61a80SYinan Xu        resetWaddr := VecInit(resetWaddr.map(_ + numWritePorts.U))
107*93b61a80SYinan Xu      }
108*93b61a80SYinan Xu      when (!inReset) {
109*93b61a80SYinan Xu        resetWaddr.map(_ := 0.U)
110*93b61a80SYinan Xu      }
111*93b61a80SYinan Xu      for ((wport, i) <- regfile.io.writePorts.zipWithIndex) {
112*93b61a80SYinan Xu        wport.wen := inReset || wen(i)
113*93b61a80SYinan Xu        wport.addr := Mux(inReset, resetWaddr(i), waddr(i))
114*93b61a80SYinan Xu        wport.data := wdata(i)
115*93b61a80SYinan Xu      }
116*93b61a80SYinan Xu    }
117*93b61a80SYinan Xu    regfile.io.debug_rports := DontCare
118*93b61a80SYinan Xu    val debug_rdata = regfile.io.debug_rports.zip(debugRead.getOrElse(Seq())).map { case (rport, addr) =>
119*93b61a80SYinan Xu      rport.addr := addr
120*93b61a80SYinan Xu      rport.data
121*93b61a80SYinan Xu    }
122*93b61a80SYinan Xu    rdata ++ debug_rdata
123*93b61a80SYinan Xu  }
124*93b61a80SYinan Xu}
125