xref: /XiangShan/src/main/scala/xiangshan/backend/regfile/Regfile.scala (revision 89722029a62d6ac06fa943c8336d322b46147598)
15844fcf0SLinJiaweipackage xiangshan.backend.regfile
25844fcf0SLinJiawei
35844fcf0SLinJiaweiimport chisel3._
45844fcf0SLinJiaweiimport chisel3.util._
55844fcf0SLinJiaweiimport xiangshan._
65844fcf0SLinJiawei
75844fcf0SLinJiaweiclass RfReadPort extends XSBundle {
85844fcf0SLinJiawei  val addr = Input(UInt(PhyRegIdxWidth.W))
95844fcf0SLinJiawei  val data = Output(UInt(XLEN.W))
105844fcf0SLinJiawei}
115844fcf0SLinJiawei
125844fcf0SLinJiaweiclass RfWritePort extends XSBundle {
135844fcf0SLinJiawei  val wen = Input(Bool())
145844fcf0SLinJiawei  val addr = Input(UInt(PhyRegIdxWidth.W))
155844fcf0SLinJiawei  val data = Input(UInt(XLEN.W))
165844fcf0SLinJiawei}
175844fcf0SLinJiawei
185844fcf0SLinJiaweiclass Regfile
195844fcf0SLinJiawei(
205844fcf0SLinJiawei  numReadPorts: Int,
215844fcf0SLinJiawei  numWirtePorts: Int,
22d83e7869SLinJiawei  hasZero: Boolean
230c701001SLinJiawei) extends XSModule {
245844fcf0SLinJiawei  val io = IO(new Bundle() {
255844fcf0SLinJiawei    val readPorts = Vec(numReadPorts, new RfReadPort)
265844fcf0SLinJiawei    val writePorts = Vec(numWirtePorts, new RfWritePort)
275844fcf0SLinJiawei  })
280c701001SLinJiawei
290c701001SLinJiawei  val mem = Mem(NRPhyRegs, UInt(XLEN.W))
300c701001SLinJiawei
310c701001SLinJiawei  for(r <- io.readPorts){
320c701001SLinJiawei    val addr_reg = RegNext(r.addr)
330c701001SLinJiawei    r.data := {if(hasZero) Mux(addr_reg===0.U, 0.U, mem(addr_reg)) else mem(addr_reg)}
340c701001SLinJiawei  }
350c701001SLinJiawei
360c701001SLinJiawei  for(w <- io.writePorts){
370c701001SLinJiawei    when(w.wen){
380c701001SLinJiawei      mem(w.addr) := w.data
390c701001SLinJiawei    }
400c701001SLinJiawei  }
416624015fSLinJiawei
4280d24142SLinJiawei  val debugArchRat = WireInit(VecInit(Seq.fill(32)(0.U(PhyRegIdxWidth.W))))
43*89722029SLinJiawei  ExcitingUtils.addSink(
44*89722029SLinJiawei    debugArchRat,
45*89722029SLinJiawei    if(hasZero) "DEBUG_INI_ARCH_RAT" else "DEBUG_FP_ARCH_RAT",
46*89722029SLinJiawei    ExcitingUtils.Debug
47*89722029SLinJiawei  )
4880d24142SLinJiawei
49*89722029SLinJiawei  val debugArchReg = WireInit(VecInit(debugArchRat.zipWithIndex.map(
50*89722029SLinJiawei    x => if(hasZero && x._2==0) 0.U else mem(x._1)
51*89722029SLinJiawei  )))
52*89722029SLinJiawei  ExcitingUtils.addSource(
53*89722029SLinJiawei    debugArchReg,
54*89722029SLinJiawei    if(hasZero) "DEBUG_INT_ARCH_REG" else "DEBUG_FP_ARCH_REG",
55*89722029SLinJiawei    ExcitingUtils.Debug
56*89722029SLinJiawei  )
575844fcf0SLinJiawei}
58