xref: /XiangShan/src/main/scala/xiangshan/backend/regfile/Regfile.scala (revision 39c59369af6e7d78fa72e13aae3735f1a6e98f5c)
1c6d43980SLemover/***************************************************************************************
2c6d43980SLemover* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3f320e0f0SYinan Xu* Copyright (c) 2020-2021 Peng Cheng Laboratory
4c6d43980SLemover*
5c6d43980SLemover* XiangShan is licensed under Mulan PSL v2.
6c6d43980SLemover* You can use this software according to the terms and conditions of the Mulan PSL v2.
7c6d43980SLemover* You may obtain a copy of Mulan PSL v2 at:
8c6d43980SLemover*          http://license.coscl.org.cn/MulanPSL2
9c6d43980SLemover*
10c6d43980SLemover* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11c6d43980SLemover* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12c6d43980SLemover* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13c6d43980SLemover*
14c6d43980SLemover* See the Mulan PSL v2 for more details.
15c6d43980SLemover***************************************************************************************/
16c6d43980SLemover
175844fcf0SLinJiaweipackage xiangshan.backend.regfile
185844fcf0SLinJiawei
192225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters
205844fcf0SLinJiaweiimport chisel3._
215844fcf0SLinJiaweiimport chisel3.util._
225844fcf0SLinJiaweiimport xiangshan._
23b6b11f60SXuan Huimport xiangshan.backend.datapath.DataConfig.{DataConfig, FpData, FpRegSrcDataSet, IntData, IntRegSrcDataSet, VecData, VecRegSrcDataSet, VfRegSrcDataSet}
24*39c59369SXuan Huimport xiangshan.backend.exu.ExeUnitParams
255844fcf0SLinJiawei
26027c9765SXuan Huclass RfReadPort(dataWidth: Int, addrWidth: Int) extends Bundle {
279ab1568eSczw  val addr = Input(UInt(addrWidth.W))
289ab1568eSczw  val data = Output(UInt(dataWidth.W))
295844fcf0SLinJiawei}
305844fcf0SLinJiawei
31027c9765SXuan Huclass RfWritePort(dataWidth: Int, addrWidth: Int) extends Bundle {
325844fcf0SLinJiawei  val wen = Input(Bool())
339ab1568eSczw  val addr = Input(UInt(addrWidth.W))
349ab1568eSczw  val data = Input(UInt(dataWidth.W))
355844fcf0SLinJiawei}
365844fcf0SLinJiawei
37730cfbc0SXuan Huclass RfReadPortWithConfig(val rfReadDataCfg: DataConfig, addrWidth: Int) extends Bundle {
38730cfbc0SXuan Hu  val addr: UInt = Input(UInt(addrWidth.W))
39730cfbc0SXuan Hu  val data: UInt = Output(UInt(rfReadDataCfg.dataWidth.W))
40730cfbc0SXuan Hu  val srcType: UInt = Input(UInt(3.W))
41730cfbc0SXuan Hu
42b6b11f60SXuan Hu  def readInt: Boolean = IntRegSrcDataSet.contains(rfReadDataCfg)
43b6b11f60SXuan Hu  def readFp : Boolean = FpRegSrcDataSet .contains(rfReadDataCfg)
44b6b11f60SXuan Hu  def readVec: Boolean = VecRegSrcDataSet.contains(rfReadDataCfg)
45b6b11f60SXuan Hu  def readVf : Boolean = VfRegSrcDataSet .contains(rfReadDataCfg)
46730cfbc0SXuan Hu}
47730cfbc0SXuan Hu
48730cfbc0SXuan Huclass RfWritePortWithConfig(val rfWriteDataCfg: DataConfig, addrWidth: Int) extends Bundle {
49730cfbc0SXuan Hu  val wen = Input(Bool())
50730cfbc0SXuan Hu  val addr = Input(UInt(addrWidth.W))
51730cfbc0SXuan Hu  val data = Input(UInt(rfWriteDataCfg.dataWidth.W))
52730cfbc0SXuan Hu  val intWen = Input(Bool())
53730cfbc0SXuan Hu  val fpWen = Input(Bool())
54730cfbc0SXuan Hu  val vecWen = Input(Bool())
55730cfbc0SXuan Hu  def writeInt: Boolean = rfWriteDataCfg.isInstanceOf[IntData]
56730cfbc0SXuan Hu  def writeFp : Boolean = rfWriteDataCfg.isInstanceOf[FpData]
57730cfbc0SXuan Hu  def writeVec: Boolean = rfWriteDataCfg.isInstanceOf[VecData]
58730cfbc0SXuan Hu}
59730cfbc0SXuan Hu
605844fcf0SLinJiaweiclass Regfile
615844fcf0SLinJiawei(
62a1ca6e15SZhangZifei  name: String,
63027c9765SXuan Hu  numPregs: Int,
645844fcf0SLinJiawei  numReadPorts: Int,
6593b61a80SYinan Xu  numWritePorts: Int,
669684eb4fSLinJiawei  hasZero: Boolean,
6773faecdcSXuan Hu  len: Int,
6873faecdcSXuan Hu  width: Int,
69027c9765SXuan Hu) extends Module {
705844fcf0SLinJiawei  val io = IO(new Bundle() {
7173faecdcSXuan Hu    val readPorts = Vec(numReadPorts, new RfReadPort(len, width))
7273faecdcSXuan Hu    val writePorts = Vec(numWritePorts, new RfWritePort(len, width))
73a8db15d8Sfdy    val debug_rports = Vec(65, new RfReadPort(len, width))
745844fcf0SLinJiawei  })
750c701001SLinJiawei
76027c9765SXuan Hu  println(name + ": size:" + numPregs + " read: " + numReadPorts + " write: " + numWritePorts)
7705f23f57SWilliam Wang
78027c9765SXuan Hu  val mem = Reg(Vec(numPregs, UInt(len.W)))
790c701001SLinJiawei  for (r <- io.readPorts) {
80b441ea13SYikeZhou    val rdata = if (hasZero) Mux(r.addr === 0.U, 0.U, mem(r.addr)) else mem(r.addr)
813dca89ecSXuan Hu    r.data := RegNext(rdata)
820c701001SLinJiawei  }
830c701001SLinJiawei  for (w <- io.writePorts) {
840c701001SLinJiawei    when(w.wen) {
850c701001SLinJiawei      mem(w.addr) := w.data
860c701001SLinJiawei    }
870c701001SLinJiawei  }
886624015fSLinJiawei
892225d46eSJiawei Lin  for (rport <- io.debug_rports) {
902225d46eSJiawei Lin    val zero_rdata = Mux(rport.addr === 0.U, 0.U, mem(rport.addr))
912225d46eSJiawei Lin    rport.data := (if (hasZero) zero_rdata else mem(rport.addr))
92adb5df20SYinan Xu  }
935844fcf0SLinJiawei}
9444dead2fSZhangZifei
9593b61a80SYinan Xuobject Regfile {
96730cfbc0SXuan Hu  // non-return version
9793b61a80SYinan Xu  def apply(
98a1ca6e15SZhangZifei    name         : String,
9993b61a80SYinan Xu    numEntries   : Int,
10093b61a80SYinan Xu    raddr        : Seq[UInt],
101730cfbc0SXuan Hu    rdata        : Vec[UInt],
10293b61a80SYinan Xu    wen          : Seq[Bool],
10393b61a80SYinan Xu    waddr        : Seq[UInt],
10493b61a80SYinan Xu    wdata        : Seq[UInt],
10593b61a80SYinan Xu    hasZero      : Boolean,
1067154d65eSYinan Xu    withReset    : Boolean = false,
107730cfbc0SXuan Hu    debugReadAddr: Option[Seq[UInt]],
108730cfbc0SXuan Hu    debugReadData: Option[Vec[UInt]],
109730cfbc0SXuan Hu  )(implicit p: Parameters): Unit = {
11093b61a80SYinan Xu    val numReadPorts = raddr.length
11193b61a80SYinan Xu    val numWritePorts = wen.length
11293b61a80SYinan Xu    require(wen.length == waddr.length)
11393b61a80SYinan Xu    require(wen.length == wdata.length)
11493b61a80SYinan Xu    val dataBits = wdata.map(_.getWidth).min
11593b61a80SYinan Xu    require(wdata.map(_.getWidth).min == wdata.map(_.getWidth).max, s"dataBits != $dataBits")
11673faecdcSXuan Hu    val addrBits = waddr.map(_.getWidth).min
11773faecdcSXuan Hu    require(waddr.map(_.getWidth).min == waddr.map(_.getWidth).max, s"addrBits != $addrBits")
11873faecdcSXuan Hu
119027c9765SXuan Hu    val regfile = Module(new Regfile(name, numEntries, numReadPorts, numWritePorts, hasZero, dataBits, addrBits))
120730cfbc0SXuan Hu    rdata := regfile.io.readPorts.zip(raddr).map { case (rport, addr) =>
12193b61a80SYinan Xu      rport.addr := addr
12293b61a80SYinan Xu      rport.data
12344dead2fSZhangZifei    }
12473faecdcSXuan Hu
12593b61a80SYinan Xu    regfile.io.writePorts.zip(wen).zip(waddr).zip(wdata).foreach{ case (((wport, en), addr), data) =>
12693b61a80SYinan Xu      wport.wen := en
12793b61a80SYinan Xu      wport.addr := addr
12893b61a80SYinan Xu      wport.data := data
129067dba72SLinJiawei    }
13093b61a80SYinan Xu    if (withReset) {
13193b61a80SYinan Xu      val numResetCycles = math.ceil(numEntries / numWritePorts).toInt
13293b61a80SYinan Xu      val resetCounter = RegInit(numResetCycles.U)
13393b61a80SYinan Xu      val resetWaddr = RegInit(VecInit((0 until numWritePorts).map(_.U(log2Up(numEntries + 1).W))))
13493b61a80SYinan Xu      val inReset = resetCounter =/= 0.U
13593b61a80SYinan Xu      when (inReset) {
13693b61a80SYinan Xu        resetCounter := resetCounter - 1.U
13793b61a80SYinan Xu        resetWaddr := VecInit(resetWaddr.map(_ + numWritePorts.U))
13893b61a80SYinan Xu      }
13993b61a80SYinan Xu      when (!inReset) {
14093b61a80SYinan Xu        resetWaddr.map(_ := 0.U)
14193b61a80SYinan Xu      }
14293b61a80SYinan Xu      for ((wport, i) <- regfile.io.writePorts.zipWithIndex) {
14393b61a80SYinan Xu        wport.wen := inReset || wen(i)
14493b61a80SYinan Xu        wport.addr := Mux(inReset, resetWaddr(i), waddr(i))
14593b61a80SYinan Xu        wport.data := wdata(i)
14693b61a80SYinan Xu      }
14793b61a80SYinan Xu    }
148730cfbc0SXuan Hu
149730cfbc0SXuan Hu    require(debugReadAddr.nonEmpty == debugReadData.nonEmpty, "Both debug addr and data bundles should be empty or not")
15093b61a80SYinan Xu    regfile.io.debug_rports := DontCare
151730cfbc0SXuan Hu    if (debugReadAddr.nonEmpty && debugReadData.nonEmpty) {
152730cfbc0SXuan Hu      debugReadData.get := VecInit(regfile.io.debug_rports.zip(debugReadAddr.get).map { case (rport, addr) =>
15393b61a80SYinan Xu        rport.addr := addr
15493b61a80SYinan Xu        rport.data
155730cfbc0SXuan Hu      })
15693b61a80SYinan Xu    }
15793b61a80SYinan Xu  }
15893b61a80SYinan Xu}
15973faecdcSXuan Hu
16073faecdcSXuan Huobject IntRegFile {
161730cfbc0SXuan Hu  // non-return version
16273faecdcSXuan Hu  def apply(
163a1ca6e15SZhangZifei    name         : String,
16473faecdcSXuan Hu    numEntries   : Int,
16573faecdcSXuan Hu    raddr        : Seq[UInt],
166730cfbc0SXuan Hu    rdata        : Vec[UInt],
16773faecdcSXuan Hu    wen          : Seq[Bool],
16873faecdcSXuan Hu    waddr        : Seq[UInt],
16973faecdcSXuan Hu    wdata        : Seq[UInt],
170730cfbc0SXuan Hu    debugReadAddr: Option[Seq[UInt]],
171730cfbc0SXuan Hu    debugReadData: Option[Vec[UInt]],
17273faecdcSXuan Hu    withReset    : Boolean = false,
173730cfbc0SXuan Hu  )(implicit p: Parameters): Unit = {
17473faecdcSXuan Hu    Regfile(
175730cfbc0SXuan Hu      name, numEntries, raddr, rdata, wen, waddr, wdata,
176730cfbc0SXuan Hu      hasZero = true, withReset, debugReadAddr, debugReadData)
17773faecdcSXuan Hu  }
17873faecdcSXuan Hu}
17973faecdcSXuan Hu
18073faecdcSXuan Huobject VfRegFile {
181730cfbc0SXuan Hu  // non-return version
18273faecdcSXuan Hu  def apply(
183a1ca6e15SZhangZifei    name         : String,
18473faecdcSXuan Hu    numEntries   : Int,
18573faecdcSXuan Hu    splitNum     : Int,
18673faecdcSXuan Hu    raddr        : Seq[UInt],
187730cfbc0SXuan Hu    rdata        : Vec[UInt],
18873faecdcSXuan Hu    wen          : Seq[Seq[Bool]],
18973faecdcSXuan Hu    waddr        : Seq[UInt],
19073faecdcSXuan Hu    wdata        : Seq[UInt],
191730cfbc0SXuan Hu    debugReadAddr: Option[Seq[UInt]],
192730cfbc0SXuan Hu    debugReadData: Option[Vec[UInt]],
19373faecdcSXuan Hu    withReset    : Boolean = false,
194730cfbc0SXuan Hu  )(implicit p: Parameters): Unit = {
19573faecdcSXuan Hu    require(splitNum >= 1, "splitNum should be no less than 1")
19673faecdcSXuan Hu    require(splitNum == wen.length, "splitNum should be equal to length of wen vec")
19773faecdcSXuan Hu    if (splitNum == 1) {
198730cfbc0SXuan Hu      Regfile(
199730cfbc0SXuan Hu        name, numEntries, raddr, rdata, wen.head, waddr, wdata,
200730cfbc0SXuan Hu        hasZero = false, withReset, debugReadAddr, debugReadData)
201761d728dSZhangZifei    } else {
20273faecdcSXuan Hu      val dataWidth = 64
203730cfbc0SXuan Hu      val numReadPorts = raddr.length
20473faecdcSXuan Hu      require(splitNum > 1 && wdata.head.getWidth == dataWidth * splitNum)
20573faecdcSXuan Hu      val wdataVec = Wire(Vec(splitNum, Vec(wdata.length, UInt(dataWidth.W))))
206730cfbc0SXuan Hu      val rdataVec = Wire(Vec(splitNum, Vec(raddr.length, UInt(dataWidth.W))))
207730cfbc0SXuan Hu      val debugRDataVec: Option[Vec[Vec[UInt]]] = debugReadData.map(x => Wire(Vec(splitNum, Vec(x.length, UInt(dataWidth.W)))))
20873faecdcSXuan Hu      for (i <- 0 until splitNum) {
20973faecdcSXuan Hu        wdataVec(i) := wdata.map(_ ((i + 1) * dataWidth - 1, i * dataWidth))
210730cfbc0SXuan Hu        Regfile(
211730cfbc0SXuan Hu          name + s"Part${i}", numEntries, raddr, rdataVec(i), wen(i), waddr, wdataVec(i),
212730cfbc0SXuan Hu          hasZero = false, withReset, debugReadAddr, debugRDataVec.map(_(i))
213730cfbc0SXuan Hu        )
21473faecdcSXuan Hu      }
21573faecdcSXuan Hu      for (i <- 0 until rdata.length) {
216761d728dSZhangZifei        rdata(i) := Cat(rdataVec.map(_ (i)).reverse)
21773faecdcSXuan Hu      }
218730cfbc0SXuan Hu      if (debugReadData.nonEmpty) {
219730cfbc0SXuan Hu        for (i <- 0 until debugReadData.get.length) {
220730cfbc0SXuan Hu          debugReadData.get(i) := Cat(debugRDataVec.get.map(_ (i)).reverse)
221730cfbc0SXuan Hu        }
222730cfbc0SXuan Hu      }
22373faecdcSXuan Hu    }
224761d728dSZhangZifei  }
22573faecdcSXuan Hu}