15844fcf0SLinJiaweipackage xiangshan.backend.regfile 25844fcf0SLinJiawei 3*2225d46eSJiawei Linimport chipsalliance.rocketchip.config.Parameters 45844fcf0SLinJiaweiimport chisel3._ 55844fcf0SLinJiaweiimport chisel3.util._ 65844fcf0SLinJiaweiimport xiangshan._ 75844fcf0SLinJiawei 8*2225d46eSJiawei Linclass RfReadPort(len: Int)(implicit p: Parameters) extends XSBundle { 95844fcf0SLinJiawei val addr = Input(UInt(PhyRegIdxWidth.W)) 10ebd10a1fSYinan Xu val data = Output(UInt(len.W)) 11ebd10a1fSYinan Xu override def cloneType: RfReadPort.this.type = 12ebd10a1fSYinan Xu new RfReadPort(len).asInstanceOf[this.type] 135844fcf0SLinJiawei} 145844fcf0SLinJiawei 15*2225d46eSJiawei Linclass RfWritePort(len: Int)(implicit p: Parameters) extends XSBundle { 165844fcf0SLinJiawei val wen = Input(Bool()) 175844fcf0SLinJiawei val addr = Input(UInt(PhyRegIdxWidth.W)) 18ebd10a1fSYinan Xu val data = Input(UInt(len.W)) 19ebd10a1fSYinan Xu override def cloneType: RfWritePort.this.type = 20ebd10a1fSYinan Xu new RfWritePort(len).asInstanceOf[this.type] 215844fcf0SLinJiawei} 225844fcf0SLinJiawei 235844fcf0SLinJiaweiclass Regfile 245844fcf0SLinJiawei( 255844fcf0SLinJiawei numReadPorts: Int, 265844fcf0SLinJiawei numWirtePorts: Int, 279684eb4fSLinJiawei hasZero: Boolean, 289684eb4fSLinJiawei len: Int 29*2225d46eSJiawei Lin)(implicit p: Parameters) extends XSModule { 305844fcf0SLinJiawei val io = IO(new Bundle() { 31ebd10a1fSYinan Xu val readPorts = Vec(numReadPorts, new RfReadPort(len)) 32ebd10a1fSYinan Xu val writePorts = Vec(numWirtePorts, new RfWritePort(len)) 33*2225d46eSJiawei Lin val debug_rports = Vec(32, new RfReadPort(len)) 345844fcf0SLinJiawei }) 350c701001SLinJiawei 36ebd10a1fSYinan Xu val useBlackBox = false 37ebd10a1fSYinan Xu if (!useBlackBox) { 38fc8a3b3fSljw val mem = Reg(Vec(NRPhyRegs, UInt(len.W))) 390c701001SLinJiawei for (r <- io.readPorts) { 40b441ea13SYikeZhou val rdata = if (hasZero) Mux(r.addr === 0.U, 0.U, mem(r.addr)) else mem(r.addr) 41b441ea13SYikeZhou r.data := RegNext(rdata) 420c701001SLinJiawei } 430c701001SLinJiawei for (w <- io.writePorts) { 440c701001SLinJiawei when(w.wen) { 450c701001SLinJiawei mem(w.addr) := w.data 460c701001SLinJiawei } 470c701001SLinJiawei } 486624015fSLinJiawei 49*2225d46eSJiawei Lin for (rport <- io.debug_rports) { 50*2225d46eSJiawei Lin val zero_rdata = Mux(rport.addr === 0.U, 0.U, mem(rport.addr)) 51*2225d46eSJiawei Lin rport.data := (if (hasZero) zero_rdata else mem(rport.addr)) 52a165bd69Swangkaifan } 53067dba72SLinJiawei } else { 54067dba72SLinJiawei 55af5cf0d1SYinan Xu val regfile = Module(new regfile_160x64_10w16r_sim) 56067dba72SLinJiawei 57067dba72SLinJiawei regfile.io.clk := this.clock 58067dba72SLinJiawei regfile.io.gpr := hasZero.B 59067dba72SLinJiawei 60067dba72SLinJiawei regfile.io.wen0 := io.writePorts(0).wen 61067dba72SLinJiawei regfile.io.waddr0 := io.writePorts(0).addr 62067dba72SLinJiawei regfile.io.wdata0 := io.writePorts(0).data 63067dba72SLinJiawei 64067dba72SLinJiawei regfile.io.wen1 := io.writePorts(1).wen 65067dba72SLinJiawei regfile.io.waddr1 := io.writePorts(1).addr 66067dba72SLinJiawei regfile.io.wdata1 := io.writePorts(1).data 67067dba72SLinJiawei 68067dba72SLinJiawei regfile.io.wen2 := io.writePorts(2).wen 69067dba72SLinJiawei regfile.io.waddr2 := io.writePorts(2).addr 70067dba72SLinJiawei regfile.io.wdata2 := io.writePorts(2).data 71067dba72SLinJiawei 72067dba72SLinJiawei regfile.io.wen3 := io.writePorts(3).wen 73067dba72SLinJiawei regfile.io.waddr3 := io.writePorts(3).addr 74067dba72SLinJiawei regfile.io.wdata3 := io.writePorts(3).data 75067dba72SLinJiawei 76067dba72SLinJiawei regfile.io.wen4 := io.writePorts(4).wen 77067dba72SLinJiawei regfile.io.waddr4 := io.writePorts(4).addr 78067dba72SLinJiawei regfile.io.wdata4 := io.writePorts(4).data 79067dba72SLinJiawei 80067dba72SLinJiawei regfile.io.wen5 := io.writePorts(5).wen 81067dba72SLinJiawei regfile.io.waddr5 := io.writePorts(5).addr 82067dba72SLinJiawei regfile.io.wdata5 := io.writePorts(5).data 83067dba72SLinJiawei 84067dba72SLinJiawei regfile.io.wen6 := io.writePorts(6).wen 85067dba72SLinJiawei regfile.io.waddr6 := io.writePorts(6).addr 86067dba72SLinJiawei regfile.io.wdata6 := io.writePorts(6).data 87067dba72SLinJiawei 88067dba72SLinJiawei regfile.io.wen7 := io.writePorts(7).wen 89067dba72SLinJiawei regfile.io.waddr7 := io.writePorts(7).addr 90067dba72SLinJiawei regfile.io.wdata7 := io.writePorts(7).data 91067dba72SLinJiawei 92067dba72SLinJiawei regfile.io.wen8 := false.B //io.writePorts(8).wen 93067dba72SLinJiawei regfile.io.waddr8 := DontCare //io.writePorts(8).addr 94067dba72SLinJiawei regfile.io.wdata8 := DontCare //io.writePorts(8).data 95067dba72SLinJiawei 96067dba72SLinJiawei regfile.io.wen9 := false.B //io.writePorts(9).wen 97067dba72SLinJiawei regfile.io.waddr9 := DontCare //io.writePorts(9).addr 98067dba72SLinJiawei regfile.io.wdata9 := DontCare //io.writePorts(9).data 99067dba72SLinJiawei 100067dba72SLinJiawei 101067dba72SLinJiawei regfile.io.raddr0 := io.readPorts(0).addr 102067dba72SLinJiawei regfile.io.raddr1 := io.readPorts(1).addr 103067dba72SLinJiawei regfile.io.raddr2 := io.readPorts(2).addr 104067dba72SLinJiawei regfile.io.raddr3 := io.readPorts(3).addr 105067dba72SLinJiawei regfile.io.raddr4 := io.readPorts(4).addr 106067dba72SLinJiawei regfile.io.raddr5 := io.readPorts(5).addr 107067dba72SLinJiawei regfile.io.raddr6 := io.readPorts(6).addr 108067dba72SLinJiawei regfile.io.raddr7 := io.readPorts(7).addr 109067dba72SLinJiawei regfile.io.raddr8 := io.readPorts(8).addr 110067dba72SLinJiawei regfile.io.raddr9 := io.readPorts(9).addr 111067dba72SLinJiawei regfile.io.raddr10 := io.readPorts(10).addr 112067dba72SLinJiawei regfile.io.raddr11 := io.readPorts(11).addr 113067dba72SLinJiawei regfile.io.raddr12 := io.readPorts(12).addr 114067dba72SLinJiawei regfile.io.raddr13 := io.readPorts(13).addr 115067dba72SLinJiawei regfile.io.raddr14 := DontCare //io.readPorts(14).addr 116067dba72SLinJiawei regfile.io.raddr15 := DontCare //io.readPorts(15).addr 117067dba72SLinJiawei 118067dba72SLinJiawei io.readPorts(0).data := regfile.io.rdata0 119067dba72SLinJiawei io.readPorts(1).data := regfile.io.rdata1 120067dba72SLinJiawei io.readPorts(2).data := regfile.io.rdata2 121067dba72SLinJiawei io.readPorts(3).data := regfile.io.rdata3 122067dba72SLinJiawei io.readPorts(4).data := regfile.io.rdata4 123067dba72SLinJiawei io.readPorts(5).data := regfile.io.rdata5 124067dba72SLinJiawei io.readPorts(6).data := regfile.io.rdata6 125067dba72SLinJiawei io.readPorts(7).data := regfile.io.rdata7 126067dba72SLinJiawei io.readPorts(8).data := regfile.io.rdata8 127067dba72SLinJiawei io.readPorts(9).data := regfile.io.rdata9 128067dba72SLinJiawei io.readPorts(10).data := regfile.io.rdata10 129067dba72SLinJiawei io.readPorts(11).data := regfile.io.rdata11 130067dba72SLinJiawei io.readPorts(12).data := regfile.io.rdata12 131067dba72SLinJiawei io.readPorts(13).data := regfile.io.rdata13 132*2225d46eSJiawei Lin 133*2225d46eSJiawei Lin io.debug_rports := DontCare 1345844fcf0SLinJiawei } 13544dead2fSZhangZifei 13644dead2fSZhangZifei} 137067dba72SLinJiawei 138af5cf0d1SYinan Xuclass regfile_160x64_10w16r_sim extends BlackBox with HasBlackBoxResource { 139067dba72SLinJiawei 140067dba72SLinJiawei val io = IO(new Bundle{ 141067dba72SLinJiawei val clk = Input(Clock()) 142067dba72SLinJiawei val gpr = Input(Bool()) 143067dba72SLinJiawei 144067dba72SLinJiawei // write 145067dba72SLinJiawei val wen0, wen1, wen2, wen3, wen4, wen5, wen6, wen7, wen8, wen9 = Input(Bool()) 146067dba72SLinJiawei val waddr0, waddr1, waddr2, waddr3, waddr4, waddr5, waddr6, waddr7, waddr8, waddr9 = Input(UInt(8.W)) 147067dba72SLinJiawei val wdata0, wdata1, wdata2, wdata3, wdata4, wdata5, wdata6, wdata7, wdata8, wdata9 = Input(UInt(64.W)) 148067dba72SLinJiawei 149067dba72SLinJiawei // read 150067dba72SLinJiawei val raddr0, raddr1, raddr2, raddr3, raddr4, raddr5, raddr6, raddr7 = Input(UInt(8.W)) 151067dba72SLinJiawei val raddr8, raddr9, raddr10, raddr11, raddr12, raddr13, raddr14, raddr15 = Input(UInt(8.W)) 152067dba72SLinJiawei val rdata0, rdata1, rdata2, rdata3, rdata4, rdata5, rdata6, rdata7 = Output(UInt(64.W)) 153067dba72SLinJiawei val rdata8, rdata9, rdata10, rdata11, rdata12, rdata13, rdata14, rdata15 = Output(UInt(64.W)) 154067dba72SLinJiawei }) 155067dba72SLinJiawei 156067dba72SLinJiawei val vsrc = "/vsrc/regfile_160x64_10w16r_sim.v" 157067dba72SLinJiawei println(s"Regfile: Using verilog source at: $vsrc") 158067dba72SLinJiawei setResource(vsrc) 159067dba72SLinJiawei 160067dba72SLinJiawei} 161067dba72SLinJiawei 162