xref: /XiangShan/src/main/scala/xiangshan/backend/issue/Scheduler.scala (revision 0c7ebb58175b51109677230e8cbab09e73166956)
1730cfbc0SXuan Hupackage xiangshan.backend.issue
2730cfbc0SXuan Hu
383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
4730cfbc0SXuan Huimport chisel3._
5730cfbc0SXuan Huimport chisel3.util._
6730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
74fa00a44SzhanglyGitimport utils.OptionWrapper
8730cfbc0SXuan Huimport xiangshan._
910fe9778SXuan Huimport xiangshan.backend.Bundles._
1039c59369SXuan Huimport xiangshan.backend.datapath.DataConfig.{IntData, VAddrData, VecData}
1139c59369SXuan Huimport xiangshan.backend.datapath.WbConfig.{IntWB, VfWB}
12e62b6911SXuan Huimport xiangshan.backend.fu.FuType
13730cfbc0SXuan Huimport xiangshan.backend.regfile.RfWritePortWithConfig
14730cfbc0SXuan Huimport xiangshan.backend.rename.BusyTable
152d270511Ssinsanctionimport xiangshan.mem.{LsqEnqCtrl, LsqEnqIO, MemWaitUpdateReq, SqPtr, LqPtr}
16730cfbc0SXuan Hu
17730cfbc0SXuan Husealed trait SchedulerType
18730cfbc0SXuan Hu
19730cfbc0SXuan Hucase class IntScheduler() extends SchedulerType
20730cfbc0SXuan Hucase class MemScheduler() extends SchedulerType
21730cfbc0SXuan Hucase class VfScheduler() extends SchedulerType
22730cfbc0SXuan Hucase class NoScheduler() extends SchedulerType
23730cfbc0SXuan Hu
24730cfbc0SXuan Huclass Scheduler(val params: SchdBlockParams)(implicit p: Parameters) extends LazyModule with HasXSParameter {
251ca4a39dSXuan Hu  override def shouldBeInlined: Boolean = false
261ca4a39dSXuan Hu
2739c59369SXuan Hu  val numIntStateWrite = backendParams.numPregWb(IntData())
2839c59369SXuan Hu  val numVfStateWrite = backendParams.numPregWb(VecData())
29730cfbc0SXuan Hu
30730cfbc0SXuan Hu  val dispatch2Iq = LazyModule(new Dispatch2Iq(params))
31730cfbc0SXuan Hu  val issueQueue = params.issueBlockParams.map(x => LazyModule(new IssueQueue(x).suggestName(x.getIQName)))
32730cfbc0SXuan Hu
3383ba63b3SXuan Hu  lazy val module: SchedulerImpBase = params.schdType match {
34730cfbc0SXuan Hu    case IntScheduler() => new SchedulerArithImp(this)(params, p)
35730cfbc0SXuan Hu    case MemScheduler() => new SchedulerMemImp(this)(params, p)
36730cfbc0SXuan Hu    case VfScheduler() => new SchedulerArithImp(this)(params, p)
37730cfbc0SXuan Hu    case _ => null
38730cfbc0SXuan Hu  }
39730cfbc0SXuan Hu}
40730cfbc0SXuan Hu
417f8233d5SHaojin Tangclass SchedulerIO()(implicit params: SchdBlockParams, p: Parameters) extends XSBundle {
4268d13085SXuan Hu  // params alias
437f8233d5SHaojin Tang  private val LoadQueueSize = VirtualLoadQueueSize
4468d13085SXuan Hu
45730cfbc0SXuan Hu  val fromTop = new Bundle {
46730cfbc0SXuan Hu    val hartId = Input(UInt(8.W))
47730cfbc0SXuan Hu  }
482e0a7dc5Sfdy  val fromWbFuBusyTable = new Bundle{
492e0a7dc5Sfdy    val fuBusyTableRead = MixedVec(params.issueBlockParams.map(x => Input(x.genWbFuBusyTableReadBundle)))
502e0a7dc5Sfdy  }
51dd970561SzhanglyGit  val wbFuBusyTable = MixedVec(params.issueBlockParams.map(x => Output(x.genWbFuBusyTableWriteBundle)))
52dd970561SzhanglyGit
53730cfbc0SXuan Hu  val fromCtrlBlock = new Bundle {
54730cfbc0SXuan Hu    val pcVec = Input(Vec(params.numPcReadPort, UInt(VAddrData().dataWidth.W)))
55730cfbc0SXuan Hu    val flush = Flipped(ValidIO(new Redirect))
56730cfbc0SXuan Hu  }
57730cfbc0SXuan Hu  val fromDispatch = new Bundle {
58730cfbc0SXuan Hu    val allocPregs = Vec(RenameWidth, Input(new ResetPregStateReq))
59730cfbc0SXuan Hu    val uops =  Vec(params.numUopIn, Flipped(DecoupledIO(new DynInst)))
60730cfbc0SXuan Hu  }
6139c59369SXuan Hu  val intWriteBack = MixedVec(Vec(backendParams.numPregWb(IntData()),
62730cfbc0SXuan Hu    new RfWritePortWithConfig(backendParams.intPregParams.dataCfg, backendParams.intPregParams.addrWidth)))
6339c59369SXuan Hu  val vfWriteBack = MixedVec(Vec(backendParams.numPregWb(VecData()),
64730cfbc0SXuan Hu    new RfWritePortWithConfig(backendParams.vfPregParams.dataCfg, backendParams.vfPregParams.addrWidth)))
6559ef6009Sxiaofeibao-xjtu  val toDataPathAfterDelay: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = MixedVec(params.issueBlockParams.map(_.genIssueDecoupledBundle))
66730cfbc0SXuan Hu
67bf35baadSXuan Hu  val fromSchedulers = new Bundle {
68c0be7f33SXuan Hu    val wakeupVec: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpInValidBundle)
69bf35baadSXuan Hu  }
70bf35baadSXuan Hu
71bf35baadSXuan Hu  val toSchedulers = new Bundle {
72c0be7f33SXuan Hu    val wakeupVec: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = params.genIQWakeUpOutValidBundle
73bf35baadSXuan Hu  }
74bf35baadSXuan Hu
75c0be7f33SXuan Hu  val fromDataPath = new Bundle {
7610fe9778SXuan Hu    val resp: MixedVec[MixedVec[OGRespBundle]] = MixedVec(params.issueBlockParams.map(x => Flipped(x.genOGRespBundle)))
777a96cc7fSHaojin Tang    val og0Cancel = Input(ExuOH(backendParams.numExu))
78ea46c302SXuan Hu    // Todo: remove this after no cancel signal from og1
797a96cc7fSHaojin Tang    val og1Cancel = Input(ExuOH(backendParams.numExu))
80bc7d6943SzhanglyGit    val cancelToBusyTable = Vec(backendParams.numExu, Flipped(ValidIO(new CancelSignal)))
81c0be7f33SXuan Hu    // just be compatible to old code
82c0be7f33SXuan Hu    def apply(i: Int)(j: Int) = resp(i)(j)
83c0be7f33SXuan Hu  }
84c0be7f33SXuan Hu
858a66c02cSXuan Hu  val loadFinalIssueResp = MixedVec(params.issueBlockParams.map(x => MixedVec(Vec(x.LdExuCnt, Flipped(ValidIO(new IssueQueueDeqRespBundle()(p, x)))))))
868a66c02cSXuan Hu  val memAddrIssueResp = MixedVec(params.issueBlockParams.map(x => MixedVec(Vec(x.LdExuCnt, Flipped(ValidIO(new IssueQueueDeqRespBundle()(p, x)))))))
870f55a0d3SHaojin Tang
886810d1e8Ssfencevma  val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO))
89c0be7f33SXuan Hu
904fa00a44SzhanglyGit  val finalBlockMem = OptionWrapper(params.isMemSchd, MixedVec(params.issueBlockParams.map(x => MixedVec(Vec(x.numExu, Input(Bool()))))))
914fa00a44SzhanglyGit
92730cfbc0SXuan Hu  val memIO = if (params.isMemSchd) Some(new Bundle {
93730cfbc0SXuan Hu    val lsqEnqIO = Flipped(new LsqEnqIO)
94730cfbc0SXuan Hu  }) else None
95730cfbc0SXuan Hu  val fromMem = if (params.isMemSchd) Some(new Bundle {
967b753bebSXuan Hu    val ldaFeedback = Flipped(Vec(params.LduCnt, new MemRSFeedbackIO))
977b753bebSXuan Hu    val staFeedback = Flipped(Vec(params.StaCnt, new MemRSFeedbackIO))
988f1fa9b1Ssfencevma    val hyuFeedback = Flipped(Vec(params.HyuCnt, new MemRSFeedbackIO))
99730cfbc0SXuan Hu    val stIssuePtr = Input(new SqPtr())
100730cfbc0SXuan Hu    val lcommit = Input(UInt(log2Up(CommitWidth + 1).W))
101730cfbc0SXuan Hu    val scommit = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) // connected to `memBlock.io.sqDeq` instead of ROB
1022d270511Ssinsanction    val lqDeqPtr = Input(new LqPtr)
1032d270511Ssinsanction    val sqDeqPtr = Input(new SqPtr)
104730cfbc0SXuan Hu    // from lsq
105730cfbc0SXuan Hu    val lqCancelCnt = Input(UInt(log2Up(LoadQueueSize + 1).W))
106730cfbc0SXuan Hu    val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W))
107730cfbc0SXuan Hu    val memWaitUpdateReq = Flipped(new MemWaitUpdateReq)
108730cfbc0SXuan Hu  }) else None
109730cfbc0SXuan Hu  val toMem = if (params.isMemSchd) Some(new Bundle {
110730cfbc0SXuan Hu    val loadFastMatch = Output(Vec(params.LduCnt, new IssueQueueLoadBundle))
111730cfbc0SXuan Hu  }) else None
112730cfbc0SXuan Hu}
113730cfbc0SXuan Hu
114730cfbc0SXuan Huabstract class SchedulerImpBase(wrapper: Scheduler)(implicit params: SchdBlockParams, p: Parameters)
115730cfbc0SXuan Hu  extends LazyModuleImp(wrapper)
116730cfbc0SXuan Hu    with HasXSParameter
117730cfbc0SXuan Hu{
118730cfbc0SXuan Hu  val io = IO(new SchedulerIO())
119730cfbc0SXuan Hu
120730cfbc0SXuan Hu  // alias
121c0be7f33SXuan Hu  private val iqWakeUpInMap: Map[Int, ValidIO[IssueQueueIQWakeUpBundle]] =
122c0be7f33SXuan Hu    io.fromSchedulers.wakeupVec.map(x => (x.bits.exuIdx, x)).toMap
123730cfbc0SXuan Hu  private val schdType = params.schdType
124730cfbc0SXuan Hu
125730cfbc0SXuan Hu  // Modules
126730cfbc0SXuan Hu  val dispatch2Iq: Dispatch2IqImp = wrapper.dispatch2Iq.module
127730cfbc0SXuan Hu  val issueQueues: Seq[IssueQueueImp] = wrapper.issueQueue.map(_.module)
128730cfbc0SXuan Hu
12956bcaed7SHaojin Tang  // valid count
13056bcaed7SHaojin Tang  dispatch2Iq.io.iqValidCnt := issueQueues.filter(_.params.StdCnt == 0).map(_.io.status.validCnt)
13156bcaed7SHaojin Tang
132730cfbc0SXuan Hu  // BusyTable Modules
133730cfbc0SXuan Hu  val intBusyTable = schdType match {
134bc7d6943SzhanglyGit    case IntScheduler() | MemScheduler() => Some(Module(new BusyTable(dispatch2Iq.numIntStateRead, wrapper.numIntStateWrite, IntPhyRegs, IntWB())))
135730cfbc0SXuan Hu    case _ => None
136730cfbc0SXuan Hu  }
137730cfbc0SXuan Hu
138730cfbc0SXuan Hu  val vfBusyTable = schdType match {
139bc7d6943SzhanglyGit    case VfScheduler() | MemScheduler() => Some(Module(new BusyTable(dispatch2Iq.numVfStateRead, wrapper.numVfStateWrite, VfPhyRegs, VfWB())))
140730cfbc0SXuan Hu    case _ => None
141730cfbc0SXuan Hu  }
142730cfbc0SXuan Hu
143730cfbc0SXuan Hu  dispatch2Iq.io match { case dp2iq =>
144730cfbc0SXuan Hu    dp2iq.redirect <> io.fromCtrlBlock.flush
145730cfbc0SXuan Hu    dp2iq.in <> io.fromDispatch.uops
146730cfbc0SXuan Hu    dp2iq.readIntState.foreach(_ <> intBusyTable.get.io.read)
147730cfbc0SXuan Hu    dp2iq.readVfState.foreach(_ <> vfBusyTable.get.io.read)
148730cfbc0SXuan Hu  }
149730cfbc0SXuan Hu
150730cfbc0SXuan Hu  intBusyTable match {
151730cfbc0SXuan Hu    case Some(bt) =>
152730cfbc0SXuan Hu      bt.io.allocPregs.zip(io.fromDispatch.allocPregs).foreach { case (btAllocPregs, dpAllocPregs) =>
153730cfbc0SXuan Hu        btAllocPregs.valid := dpAllocPregs.isInt
154730cfbc0SXuan Hu        btAllocPregs.bits := dpAllocPregs.preg
155730cfbc0SXuan Hu      }
156730cfbc0SXuan Hu      bt.io.wbPregs.zipWithIndex.foreach { case (wb, i) =>
157730cfbc0SXuan Hu        wb.valid := io.intWriteBack(i).wen && io.intWriteBack(i).intWen
158730cfbc0SXuan Hu        wb.bits := io.intWriteBack(i).addr
159730cfbc0SXuan Hu      }
160bc7d6943SzhanglyGit      bt.io.wakeUp := io.fromSchedulers.wakeupVec
161bc7d6943SzhanglyGit      bt.io.cancel := io.fromDataPath.cancelToBusyTable
16213551487SzhanglyGit      bt.io.ldCancel := io.ldCancel
163730cfbc0SXuan Hu    case None =>
164730cfbc0SXuan Hu  }
165730cfbc0SXuan Hu
166730cfbc0SXuan Hu  vfBusyTable match {
167730cfbc0SXuan Hu    case Some(bt) =>
168730cfbc0SXuan Hu      bt.io.allocPregs.zip(io.fromDispatch.allocPregs).foreach { case (btAllocPregs, dpAllocPregs) =>
169730cfbc0SXuan Hu        btAllocPregs.valid := dpAllocPregs.isFp
170730cfbc0SXuan Hu        btAllocPregs.bits := dpAllocPregs.preg
171730cfbc0SXuan Hu      }
172730cfbc0SXuan Hu      bt.io.wbPregs.zipWithIndex.foreach { case (wb, i) =>
173730cfbc0SXuan Hu        wb.valid := io.vfWriteBack(i).wen && (io.vfWriteBack(i).fpWen || io.vfWriteBack(i).vecWen)
174730cfbc0SXuan Hu        wb.bits := io.vfWriteBack(i).addr
175730cfbc0SXuan Hu      }
176bc7d6943SzhanglyGit      bt.io.wakeUp := io.fromSchedulers.wakeupVec
177bc7d6943SzhanglyGit      bt.io.cancel := io.fromDataPath.cancelToBusyTable
17813551487SzhanglyGit      bt.io.ldCancel := io.ldCancel
179730cfbc0SXuan Hu    case None =>
180730cfbc0SXuan Hu  }
181730cfbc0SXuan Hu
182f39a61a1SzhanglyGit  val wakeupFromIntWBVec = Wire(params.genIntWBWakeUpSinkValidBundle)
183f39a61a1SzhanglyGit  val wakeupFromVfWBVec = Wire(params.genVfWBWakeUpSinkValidBundle)
184f39a61a1SzhanglyGit
185f39a61a1SzhanglyGit  wakeupFromIntWBVec.zip(io.intWriteBack).foreach { case (sink, source) =>
186f39a61a1SzhanglyGit    sink.valid := source.wen
187f39a61a1SzhanglyGit    sink.bits.rfWen := source.intWen
188f39a61a1SzhanglyGit    sink.bits.fpWen := source.fpWen
189f39a61a1SzhanglyGit    sink.bits.vecWen := source.vecWen
190f39a61a1SzhanglyGit    sink.bits.pdest := source.addr
191730cfbc0SXuan Hu  }
192f39a61a1SzhanglyGit
193f39a61a1SzhanglyGit  wakeupFromVfWBVec.zip(io.vfWriteBack).foreach { case (sink, source) =>
194730cfbc0SXuan Hu    sink.valid := source.wen
195730cfbc0SXuan Hu    sink.bits.rfWen := source.intWen
196730cfbc0SXuan Hu    sink.bits.fpWen := source.fpWen
197730cfbc0SXuan Hu    sink.bits.vecWen := source.vecWen
198730cfbc0SXuan Hu    sink.bits.pdest := source.addr
199730cfbc0SXuan Hu  }
200730cfbc0SXuan Hu
201bf35baadSXuan Hu  // Connect bundles having the same wakeup source
20259ef6009Sxiaofeibao-xjtu  issueQueues.zipWithIndex.foreach { case(iq, i) =>
203bf35baadSXuan Hu    iq.io.wakeupFromIQ.foreach { wakeUp =>
204*0c7ebb58Sxiaofeibao-xjtu      val wakeUpIn = iqWakeUpInMap(wakeUp.bits.exuIdx)
205*0c7ebb58Sxiaofeibao-xjtu      val exuIdx = wakeUp.bits.exuIdx
206*0c7ebb58Sxiaofeibao-xjtu      println(s"[Backend] Connect wakeup exuIdx ${exuIdx}")
207*0c7ebb58Sxiaofeibao-xjtu      connectSamePort(wakeUp,wakeUpIn)
208*0c7ebb58Sxiaofeibao-xjtu      backendParams.connectWakeup(exuIdx)
209*0c7ebb58Sxiaofeibao-xjtu      if (backendParams.isCopyPdest(exuIdx)) {
210*0c7ebb58Sxiaofeibao-xjtu        println(s"[Backend] exuIdx ${exuIdx} use pdestCopy ${backendParams.getCopyPdestIndex(exuIdx)}")
211*0c7ebb58Sxiaofeibao-xjtu        wakeUp.bits.pdest := wakeUpIn.bits.pdestCopy.get(backendParams.getCopyPdestIndex(exuIdx))
212*0c7ebb58Sxiaofeibao-xjtu      }
213bf35baadSXuan Hu    }
214ea46c302SXuan Hu    iq.io.og0Cancel := io.fromDataPath.og0Cancel
215ea46c302SXuan Hu    iq.io.og1Cancel := io.fromDataPath.og1Cancel
2160f55a0d3SHaojin Tang    iq.io.ldCancel := io.ldCancel
2174fa00a44SzhanglyGit    if(params.isMemSchd) {
2184fa00a44SzhanglyGit      iq.io.finalBlock.zip(io.finalBlockMem.get(i)).foreach(x => x._1 := x._2)
2194fa00a44SzhanglyGit    } else {
2204fa00a44SzhanglyGit      iq.io.finalBlock.foreach(_ := false.B)
2214fa00a44SzhanglyGit    }
222bf35baadSXuan Hu  }
223bf35baadSXuan Hu
224c0be7f33SXuan Hu  private val iqWakeUpOutMap: Map[Int, ValidIO[IssueQueueIQWakeUpBundle]] =
225bf35baadSXuan Hu    issueQueues.flatMap(_.io.wakeupToIQ)
226c0be7f33SXuan Hu      .map(x => (x.bits.exuIdx, x))
227bf35baadSXuan Hu      .toMap
228bf35baadSXuan Hu
229bf35baadSXuan Hu  // Connect bundles having the same wakeup source
230bf35baadSXuan Hu  io.toSchedulers.wakeupVec.foreach { wakeUp =>
231c0be7f33SXuan Hu    wakeUp := iqWakeUpOutMap(wakeUp.bits.exuIdx)
232bf35baadSXuan Hu  }
233bf35baadSXuan Hu
23459ef6009Sxiaofeibao-xjtu  io.toDataPathAfterDelay.zipWithIndex.foreach { case (toDpDy, i) =>
23559ef6009Sxiaofeibao-xjtu    toDpDy <> issueQueues(i).io.deqDelay
23659ef6009Sxiaofeibao-xjtu  }
237bf35baadSXuan Hu
238f99b81adSHaojin Tang  // Response
239f99b81adSHaojin Tang  issueQueues.zipWithIndex.foreach { case (iq, i) =>
240f99b81adSHaojin Tang    iq.io.og0Resp.zipWithIndex.foreach { case (og0Resp, j) =>
241f99b81adSHaojin Tang      og0Resp := io.fromDataPath(i)(j).og0resp
242f99b81adSHaojin Tang    }
243f99b81adSHaojin Tang    iq.io.og1Resp.zipWithIndex.foreach { case (og1Resp, j) =>
244f99b81adSHaojin Tang      og1Resp := io.fromDataPath(i)(j).og1resp
245f99b81adSHaojin Tang    }
246f99b81adSHaojin Tang    iq.io.finalIssueResp.foreach(_.zipWithIndex.foreach { case (finalIssueResp, j) =>
247670870b3SXuan Hu      if (io.loadFinalIssueResp(i).isDefinedAt(j)) {
248f99b81adSHaojin Tang        finalIssueResp := io.loadFinalIssueResp(i)(j)
249670870b3SXuan Hu      } else {
250670870b3SXuan Hu        finalIssueResp := 0.U.asTypeOf(finalIssueResp)
251670870b3SXuan Hu      }
252f99b81adSHaojin Tang    })
253e8800897SXuan Hu    iq.io.memAddrIssueResp.foreach(_.zipWithIndex.foreach { case (memAddrIssueResp, j) =>
254e8800897SXuan Hu      memAddrIssueResp := io.memAddrIssueResp(i)(j)
255e8800897SXuan Hu    })
256f99b81adSHaojin Tang    iq.io.wbBusyTableRead := io.fromWbFuBusyTable.fuBusyTableRead(i)
257f99b81adSHaojin Tang    io.wbFuBusyTable(i) := iq.io.wbBusyTableWrite
258f99b81adSHaojin Tang  }
259f99b81adSHaojin Tang
260c0be7f33SXuan Hu  println(s"[Scheduler] io.fromSchedulers.wakeupVec: ${io.fromSchedulers.wakeupVec.map(x => backendParams.getExuName(x.bits.exuIdx))}")
261bf35baadSXuan Hu  println(s"[Scheduler] iqWakeUpInKeys: ${iqWakeUpInMap.keys}")
262bf35baadSXuan Hu
263bf35baadSXuan Hu  println(s"[Scheduler] iqWakeUpOutKeys: ${iqWakeUpOutMap.keys}")
264c0be7f33SXuan Hu  println(s"[Scheduler] io.toSchedulers.wakeupVec: ${io.toSchedulers.wakeupVec.map(x => backendParams.getExuName(x.bits.exuIdx))}")
265730cfbc0SXuan Hu}
266730cfbc0SXuan Hu
267730cfbc0SXuan Huclass SchedulerArithImp(override val wrapper: Scheduler)(implicit params: SchdBlockParams, p: Parameters)
268730cfbc0SXuan Hu  extends SchedulerImpBase(wrapper)
269730cfbc0SXuan Hu    with HasXSParameter
270730cfbc0SXuan Hu{
2712e0a7dc5Sfdy//  dontTouch(io.vfWbFuBusyTable)
272730cfbc0SXuan Hu  println(s"[SchedulerArithImp] " +
273730cfbc0SXuan Hu    s"has intBusyTable: ${intBusyTable.nonEmpty}, " +
274730cfbc0SXuan Hu    s"has vfBusyTable: ${vfBusyTable.nonEmpty}")
275730cfbc0SXuan Hu
276730cfbc0SXuan Hu  issueQueues.zipWithIndex.foreach { case (iq, i) =>
277730cfbc0SXuan Hu    iq.io.flush <> io.fromCtrlBlock.flush
278730cfbc0SXuan Hu    iq.io.enq <> dispatch2Iq.io.out(i)
279f39a61a1SzhanglyGit    val intWBIQ = params.schdType match {
280f39a61a1SzhanglyGit      case IntScheduler() => wakeupFromIntWBVec.zipWithIndex.filter(x => iq.params.needWakeupFromIntWBPort.keys.toSeq.contains(x._2)).map(_._1)
281f39a61a1SzhanglyGit      case VfScheduler() => wakeupFromVfWBVec
282f39a61a1SzhanglyGit    }
283f39a61a1SzhanglyGit    iq.io.wakeupFromWB.zip(intWBIQ).foreach{ case (sink, source) => sink := source}
284730cfbc0SXuan Hu  }
285730cfbc0SXuan Hu}
286730cfbc0SXuan Hu
287f99b81adSHaojin Tang// FIXME: Vector mem instructions may not be handled properly!
288730cfbc0SXuan Huclass SchedulerMemImp(override val wrapper: Scheduler)(implicit params: SchdBlockParams, p: Parameters)
289730cfbc0SXuan Hu  extends SchedulerImpBase(wrapper)
290730cfbc0SXuan Hu    with HasXSParameter
291730cfbc0SXuan Hu{
292730cfbc0SXuan Hu  println(s"[SchedulerMemImp] " +
293730cfbc0SXuan Hu    s"has intBusyTable: ${intBusyTable.nonEmpty}, " +
294730cfbc0SXuan Hu    s"has vfBusyTable: ${vfBusyTable.nonEmpty}")
295730cfbc0SXuan Hu
296559c1710SHaojin Tang  val memAddrIQs = issueQueues.filter(_.params.isMemAddrIQ)
2972d270511Ssinsanction  val stAddrIQs = issueQueues.filter(iq => iq.params.StaCnt > 0 || iq.params.VstaCnt > 0) // included in memAddrIQs
2982d270511Ssinsanction  val ldAddrIQs = issueQueues.filter(iq => iq.params.LduCnt > 0 || iq.params.VlduCnt > 0)
2992d270511Ssinsanction  val stDataIQs = issueQueues.filter(iq => iq.params.StdCnt > 0 || iq.params.VstdCnt > 0)
300559c1710SHaojin Tang  val vecMemIQs = issueQueues.filter(_.params.isVecMemIQ)
301559c1710SHaojin Tang  val (hyuIQs, hyuIQIdxs) = issueQueues.zipWithIndex.filter(_._1.params.HyuCnt > 0).unzip
302499caf4cSXuan Hu
303499caf4cSXuan Hu  println(s"[SchedulerMemImp] memAddrIQs.size: ${memAddrIQs.size}, enq.size: ${memAddrIQs.map(_.io.enq.size).sum}")
304499caf4cSXuan Hu  println(s"[SchedulerMemImp] stAddrIQs.size:  ${stAddrIQs.size }, enq.size: ${stAddrIQs.map(_.io.enq.size).sum}")
305499caf4cSXuan Hu  println(s"[SchedulerMemImp] ldAddrIQs.size:  ${ldAddrIQs.size }, enq.size: ${ldAddrIQs.map(_.io.enq.size).sum}")
306499caf4cSXuan Hu  println(s"[SchedulerMemImp] stDataIQs.size:  ${stDataIQs.size }, enq.size: ${stDataIQs.map(_.io.enq.size).sum}")
307499caf4cSXuan Hu  println(s"[SchedulerMemImp] hyuIQs.size:     ${hyuIQs.size    }, enq.size: ${hyuIQs.map(_.io.enq.size).sum}")
308730cfbc0SXuan Hu  require(memAddrIQs.nonEmpty && stDataIQs.nonEmpty)
309730cfbc0SXuan Hu
310853cd2d8SHaojin Tang  io.toMem.get.loadFastMatch := 0.U.asTypeOf(io.toMem.get.loadFastMatch) // TODO: is still needed?
311853cd2d8SHaojin Tang
312730cfbc0SXuan Hu  memAddrIQs.zipWithIndex.foreach { case (iq, i) =>
313730cfbc0SXuan Hu    iq.io.flush <> io.fromCtrlBlock.flush
314730cfbc0SXuan Hu    iq.io.enq <> dispatch2Iq.io.out(i)
315f39a61a1SzhanglyGit    iq.io.wakeupFromWB.zip(wakeupFromIntWBVec.zipWithIndex.filter(x => iq.params.needWakeupFromIntWBPort.keys.toSeq.contains(x._2)).map(_._1) ++ wakeupFromVfWBVec).foreach{ case (sink, source) => sink := source}
316730cfbc0SXuan Hu  }
317730cfbc0SXuan Hu
318ecfc6f16SXuan Hu  ldAddrIQs.zipWithIndex.foreach {
319ecfc6f16SXuan Hu    case (imp: IssueQueueMemAddrImp, i) =>
320ecfc6f16SXuan Hu      imp.io.memIO.get.feedbackIO.head := 0.U.asTypeOf(imp.io.memIO.get.feedbackIO.head)
321c14e89f4SHaojin Tang      imp.io.memIO.get.checkWait.stIssuePtr := io.fromMem.get.stIssuePtr
322de784418SXuan Hu      imp.io.memIO.get.checkWait.memWaitUpdateReq := io.fromMem.get.memWaitUpdateReq
3237b753bebSXuan Hu    case _ =>
3247b753bebSXuan Hu  }
3257b753bebSXuan Hu
326ecfc6f16SXuan Hu  stAddrIQs.zipWithIndex.foreach {
327ecfc6f16SXuan Hu    case (imp: IssueQueueMemAddrImp, i) =>
328ecfc6f16SXuan Hu      imp.io.memIO.get.feedbackIO.head := io.fromMem.get.staFeedback(i)
329c14e89f4SHaojin Tang      imp.io.memIO.get.checkWait.stIssuePtr := io.fromMem.get.stIssuePtr
330c14e89f4SHaojin Tang      imp.io.memIO.get.checkWait.memWaitUpdateReq := io.fromMem.get.memWaitUpdateReq
3317b753bebSXuan Hu    case _ =>
3327b753bebSXuan Hu  }
333730cfbc0SXuan Hu
334559c1710SHaojin Tang  hyuIQs.zip(hyuIQIdxs).foreach {
335559c1710SHaojin Tang    case (imp: IssueQueueMemAddrImp, idx) =>
336670870b3SXuan Hu      imp.io.memIO.get.feedbackIO.head := io.fromMem.get.hyuFeedback.head
337670870b3SXuan Hu      imp.io.memIO.get.feedbackIO(1) := 0.U.asTypeOf(imp.io.memIO.get.feedbackIO(1))
3388f1fa9b1Ssfencevma      imp.io.memIO.get.checkWait.stIssuePtr := io.fromMem.get.stIssuePtr
3398f1fa9b1Ssfencevma      imp.io.memIO.get.checkWait.memWaitUpdateReq := io.fromMem.get.memWaitUpdateReq
340559c1710SHaojin Tang      // TODO: refactor ditry code
341559c1710SHaojin Tang      imp.io.deqDelay(1).ready := false.B
342559c1710SHaojin Tang      io.toDataPathAfterDelay(idx)(1).valid := false.B
343559c1710SHaojin Tang      io.toDataPathAfterDelay(idx)(1).bits := 0.U.asTypeOf(io.toDataPathAfterDelay(idx)(1).bits)
3448f1fa9b1Ssfencevma    case _ =>
3458f1fa9b1Ssfencevma  }
3468f1fa9b1Ssfencevma
347e62b6911SXuan Hu  private val staIdxSeq = (stAddrIQs).map(iq => iq.params.idxInSchBlk)
348e62b6911SXuan Hu  private val hyaIdxSeq = (hyuIQs).map(iq => iq.params.idxInSchBlk)
349e62b6911SXuan Hu
350e62b6911SXuan Hu  println(s"[SchedulerMemImp] sta iq idx in memSchdBlock: $staIdxSeq")
351e62b6911SXuan Hu  println(s"[SchedulerMemImp] hya iq idx in memSchdBlock: $hyaIdxSeq")
352e62b6911SXuan Hu
353e62b6911SXuan Hu  private val staEnqs = stAddrIQs.map(_.io.enq).flatten
354e62b6911SXuan Hu  private val stdEnqs = stDataIQs.map(_.io.enq).flatten.take(staEnqs.size)
355e62b6911SXuan Hu  private val hyaEnqs = hyuIQs.map(_.io.enq).flatten
356e62b6911SXuan Hu  private val hydEnqs = stDataIQs.map(_.io.enq).flatten.drop(staEnqs.size)
357e62b6911SXuan Hu
358e62b6911SXuan Hu  require(staEnqs.size == stdEnqs.size, s"number of enq ports of store address IQs(${staEnqs.size}) " +
359e62b6911SXuan Hu  s"should be equal to number of enq ports of store data IQs(${stdEnqs.size})")
360e62b6911SXuan Hu
361e62b6911SXuan Hu  require(hyaEnqs.size == hydEnqs.size, s"number of enq ports of hybrid address IQs(${hyaEnqs.size}) " +
362e62b6911SXuan Hu  s"should be equal to number of enq ports of hybrid data IQs(${hydEnqs.size})")
3639b258a00Sxgkiri
3649b258a00Sxgkiri  for ((idxInSchBlk, i) <- staIdxSeq.zipWithIndex) {
365e62b6911SXuan Hu    dispatch2Iq.io.out(idxInSchBlk).zip(staEnqs).zip(stdEnqs).foreach{ case((dp, staIQ), stdIQ) =>
366730cfbc0SXuan Hu      val isAllReady = staIQ.ready && stdIQ.ready
367e62b6911SXuan Hu      dp.ready := isAllReady
368e62b6911SXuan Hu      staIQ.valid := dp.valid && isAllReady
3694ec52c44SXuan Hu      stdIQ.valid := dp.valid && isAllReady && FuType.isStore(dp.bits.fuType)
370730cfbc0SXuan Hu    }
3719b258a00Sxgkiri  }
372730cfbc0SXuan Hu
373e62b6911SXuan Hu  for ((idxInSchBlk, i) <- hyaIdxSeq.zipWithIndex) {
374e62b6911SXuan Hu    dispatch2Iq.io.out(idxInSchBlk).zip(hyaEnqs).zip(hydEnqs).foreach{ case((dp, hyaIQ), hydIQ) =>
375e62b6911SXuan Hu      val isAllReady = hyaIQ.ready && hydIQ.ready
376e62b6911SXuan Hu      dp.ready := isAllReady
377e62b6911SXuan Hu      hyaIQ.valid := dp.valid && isAllReady
37856bceacbSHaojin Tang      hydIQ.valid := dp.valid && isAllReady && FuType.FuTypeOrR(dp.bits.fuType, FuType.stu, FuType.mou)
379e62b6911SXuan Hu    }
380e62b6911SXuan Hu  }
381730cfbc0SXuan Hu
382e62b6911SXuan Hu  stDataIQs.zipWithIndex.foreach { case (iq, i) =>
383e62b6911SXuan Hu    iq.io.flush <> io.fromCtrlBlock.flush
384f39a61a1SzhanglyGit    iq.io.wakeupFromWB.zip(wakeupFromIntWBVec.zipWithIndex.filter(x => iq.params.needWakeupFromIntWBPort.keys.toSeq.contains(x._2)).map(_._1).toSeq ++ wakeupFromVfWBVec).foreach{ case (sink, source) => sink := source}
385e62b6911SXuan Hu  }
386e62b6911SXuan Hu
387e62b6911SXuan Hu  (stdEnqs ++ hydEnqs).zip(staEnqs ++ hyaEnqs).zipWithIndex.foreach { case ((stdIQEnq, staIQEnq), i) =>
388730cfbc0SXuan Hu    stdIQEnq.bits  := staIQEnq.bits
389730cfbc0SXuan Hu    // Store data reuses store addr src(1) in dispatch2iq
390e62b6911SXuan Hu    // [dispatch2iq] --src*------src*(0)--> [staIQ|hyaIQ]
391730cfbc0SXuan Hu    //                       \
392730cfbc0SXuan Hu    //                        ---src*(1)--> [stdIQ]
393730cfbc0SXuan Hu    // Since the src(1) of sta is easier to get, stdIQEnq.bits.src*(0) is assigned to staIQEnq.bits.src*(1)
394730cfbc0SXuan Hu    // instead of dispatch2Iq.io.out(x).bits.src*(1)
39597b279b9SXuan Hu    val stdIdx = 1
3962d270511Ssinsanction    stdIQEnq.bits.srcState(0) := staIQEnq.bits.srcState(stdIdx)
39713551487SzhanglyGit    stdIQEnq.bits.srcLoadDependency(0) := staIQEnq.bits.srcLoadDependency(1)
3982d270511Ssinsanction      stdIQEnq.bits.srcType(0) := staIQEnq.bits.srcType(stdIdx)
3992d270511Ssinsanction    stdIQEnq.bits.psrc(0) := staIQEnq.bits.psrc(stdIdx)
400730cfbc0SXuan Hu    stdIQEnq.bits.sqIdx := staIQEnq.bits.sqIdx
401730cfbc0SXuan Hu  }
402730cfbc0SXuan Hu
4032d270511Ssinsanction  vecMemIQs.foreach {
4042d270511Ssinsanction    case imp: IssueQueueVecMemImp =>
4052d270511Ssinsanction      imp.io.memIO.get.sqDeqPtr.foreach(_ := io.fromMem.get.sqDeqPtr)
4062d270511Ssinsanction      imp.io.memIO.get.lqDeqPtr.foreach(_ := io.fromMem.get.lqDeqPtr)
4071f3d1b4dSXuan Hu      // not used
4081f3d1b4dSXuan Hu      imp.io.memIO.get.feedbackIO := 0.U.asTypeOf(imp.io.memIO.get.feedbackIO)
4091f3d1b4dSXuan Hu      // maybe not used
4101f3d1b4dSXuan Hu      imp.io.memIO.get.checkWait.stIssuePtr := io.fromMem.get.stIssuePtr
4111f3d1b4dSXuan Hu      imp.io.memIO.get.checkWait.memWaitUpdateReq := io.fromMem.get.memWaitUpdateReq
412f39a61a1SzhanglyGit      imp.io.wakeupFromWB.zip(wakeupFromIntWBVec.zipWithIndex.filter(x => imp.params.needWakeupFromIntWBPort.keys.toSeq.contains(x._2)).map(_._1).toSeq ++ wakeupFromVfWBVec).foreach{ case (sink, source) => sink := source}
413f39a61a1SzhanglyGit
4142d270511Ssinsanction    case _ =>
4152d270511Ssinsanction  }
4162d270511Ssinsanction
417730cfbc0SXuan Hu  val lsqEnqCtrl = Module(new LsqEnqCtrl)
418730cfbc0SXuan Hu
419730cfbc0SXuan Hu  lsqEnqCtrl.io.redirect <> io.fromCtrlBlock.flush
420730cfbc0SXuan Hu  lsqEnqCtrl.io.enq <> dispatch2Iq.io.enqLsqIO.get
421730cfbc0SXuan Hu  lsqEnqCtrl.io.lcommit := io.fromMem.get.lcommit
422730cfbc0SXuan Hu  lsqEnqCtrl.io.scommit := io.fromMem.get.scommit
423730cfbc0SXuan Hu  lsqEnqCtrl.io.lqCancelCnt := io.fromMem.get.lqCancelCnt
424730cfbc0SXuan Hu  lsqEnqCtrl.io.sqCancelCnt := io.fromMem.get.sqCancelCnt
425730cfbc0SXuan Hu  io.memIO.get.lsqEnqIO <> lsqEnqCtrl.io.enqLsq
426730cfbc0SXuan Hu}
427