1package xiangshan.backend.issue 2 3import chipsalliance.rocketchip.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 7import utility.{HasCircularQueuePtrHelper, ParallelLookUp} 8import utils.OptionWrapper 9import xiangshan._ 10import xiangshan.backend.Bundles 11import xiangshan.backend.fu.{FuConfig, FuType} 12import xiangshan.mem.{MemWaitUpdateReq, SqPtr} 13import xiangshan.backend.Bundles.{DynInst, ExuInput, IssueQueueIssueBundle, IssueQueueWakeUpBundle} 14import xiangshan.backend.datapath.DataConfig._ 15import xiangshan.backend.exu.ExeUnitParams 16 17class IssueQueue(params: IssueBlockParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 18 implicit val iqParams = params 19 lazy val module = iqParams.schdType match { 20 case IntScheduler() => new IssueQueueIntImp(this) 21 case VfScheduler() => new IssueQueueVfImp(this) 22 case MemScheduler() => if (iqParams.StdCnt == 0) new IssueQueueMemAddrImp(this) 23 else new IssueQueueIntImp(this) 24 case _ => null 25 } 26} 27 28class IssueQueueStatusBundle(numEnq: Int) extends Bundle { 29 val empty = Output(Bool()) 30 val full = Output(Bool()) 31 val leftVec = Output(Vec(numEnq + 1, Bool())) 32} 33 34class IssueQueueDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends StatusArrayDeqRespBundle 35 36class IssueQueueIO()(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 37 // Inputs 38 val flush = Flipped(ValidIO(new Redirect)) 39 val enq = Vec(params.numEnq, Flipped(DecoupledIO(new DynInst))) 40 41 val deqResp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 42 val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 43 val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 44 val wbBusyTableRead = Input(params.genWbFuBusyTableReadBundle()) 45 val wbBusyTableWrite = Output(params.genWbFuBusyTableWriteBundle()) 46 val wakeupFromWB = Vec(params.numWakeupFromWB, Flipped(ValidIO(new IssueQueueWakeUpBundle("WB")))) 47 val wakeupFromIQ: MixedVec[ValidIO[IssueQueueWakeUpBundle]] = Flipped(params.genWakeUpSinkValidBundle) 48 49 // Outputs 50 val deq: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle 51 val wakeupToIQ: MixedVec[ValidIO[IssueQueueWakeUpBundle]] = params.genWakeUpSourceValidBundle 52 val status = Output(new IssueQueueStatusBundle(params.numEnq)) 53 val statusNext = Output(new IssueQueueStatusBundle(params.numEnq)) 54 55 def allWakeUp = wakeupFromWB ++ wakeupFromIQ 56} 57 58class IssueQueueImp(override val wrapper: IssueQueue)(implicit p: Parameters, val params: IssueBlockParams) 59 extends LazyModuleImp(wrapper) 60 with HasXSParameter { 61 62 println(s"[IssueQueueImp] ${params.getIQName} wakeupFromWB: ${params.numWakeupFromWB}, " + 63 s"wakeup exu sources(${params.wakeUpInExuSources.size}): ${params.wakeUpInExuSources.map(_.name).mkString("{",",","}")}, " + 64 s"numEntries: ${params.numEntries}, numRegSrc: ${params.numRegSrc}") 65 66 require(params.numExu <= 2, "IssueQueue has not supported more than 2 deq ports") 67 val deqFuCfgs : Seq[Seq[FuConfig]] = params.exuBlockParams.map(_.fuConfigs) 68 val allDeqFuCfgs : Seq[FuConfig] = params.exuBlockParams.flatMap(_.fuConfigs) 69 val fuCfgsCnt : Map[FuConfig, Int] = allDeqFuCfgs.groupBy(x => x).map { case (cfg, cfgSeq) => (cfg, cfgSeq.length) } 70 val commonFuCfgs : Seq[FuConfig] = fuCfgsCnt.filter(_._2 > 1).keys.toSeq 71 val fuLatencyMaps : Seq[Map[Int, Int]] = params.exuBlockParams.map(x => x.fuLatencyMap) 72 println(s"[IssueQueueImp] ${params.getIQName} commonFuCfgs: ${commonFuCfgs.map(_.name)}") 73 lazy val io = IO(new IssueQueueIO()) 74 dontTouch(io.deq) 75 dontTouch(io.deqResp) 76 // Modules 77 val statusArray = Module(StatusArray(p, params)) 78 val immArray = Module(new DataArray(UInt(XLEN.W), params.numDeq, params.numEnq, params.numEntries)) 79 val payloadArray = Module(new DataArray(Output(new DynInst), params.numDeq, params.numEnq, params.numEntries)) 80 val enqPolicy = Module(new EnqPolicy) 81 val subDeqPolicies = deqFuCfgs.map(x => if (x.nonEmpty) Some(Module(new DeqPolicy())) else None) 82 val fuBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableWrite(x.fuLatencyMap))) } 83 val fuBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableRead(x.fuLatencyMap))) } 84 val intWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableWrite(x.intFuLatencyMap))) } 85 val intWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableRead(x.intFuLatencyMap))) } 86 val vfWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableWrite(x.vfFuLatencyMap))) } 87 val vfWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableRead(x.vfFuLatencyMap))) } 88 89 val wakeUpQueues: Seq[Option[MultiWakeupQueue[ExuInput, ValidIO[Redirect]]]] = params.exuBlockParams.map { x => OptionWrapper(x.isIQWakeUpSource, () => Module( 90 new MultiWakeupQueue( 91 new ExuInput(x), 92 ValidIO(new Redirect) , 93 x.fuLatancySet, 94 (exuInput: ExuInput, flush: ValidIO[Redirect]) => exuInput.robIdx.needFlush(flush) 95 ) 96 ))} 97 98 val intWbBusyTableIn = io.wbBusyTableRead.map(_.intWbBusyTable) 99 val vfWbBusyTableIn = io.wbBusyTableRead.map(_.vfWbBusyTable) 100 val intWbBusyTableOut = io.wbBusyTableWrite.map(_.intWbBusyTable) 101 val vfWbBusyTableOut = io.wbBusyTableWrite.map(_.vfWbBusyTable) 102 val intDeqRespSetOut = io.wbBusyTableWrite.map(_.intDeqRespSet) 103 val vfDeqRespSetOut = io.wbBusyTableWrite.map(_.vfDeqRespSet) 104 val fuBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 105 val intWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 106 val vfWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 107 val s0_enqValidVec = io.enq.map(_.valid) 108 val s0_enqSelValidVec = Wire(Vec(params.numEnq, Bool())) 109 val s0_enqSelOHVec = Wire(Vec(params.numEnq, UInt(params.numEntries.W))) 110 val s0_enqNotFlush = !io.flush.valid 111 val s0_enqBits = WireInit(VecInit(io.enq.map(_.bits))) 112 val s0_doEnqSelValidVec = s0_enqSelValidVec.map(_ && s0_enqNotFlush) 113 val s0_doEnqOH: Vec[UInt] = VecInit((s0_doEnqSelValidVec zip s0_enqSelOHVec).map { case (valid, oh) => 114 Mux(valid, oh, 0.U) 115 }) 116 117 val s0_enqImmValidVec = io.enq.map(enq => enq.valid) 118 val s0_enqImmVec = VecInit(io.enq.map(_.bits.imm)) 119 120 // One deq port only need one special deq policy 121 val subDeqSelValidVec: Seq[Option[Vec[Bool]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, Bool())))) 122 val subDeqSelOHVec: Seq[Option[Vec[UInt]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, UInt(params.numEntries.W))))) 123 124 val finalDeqSelValidVec = Wire(Vec(params.numDeq, Bool())) 125 val finalDeqSelOHVec = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 126 val finalDeqOH: IndexedSeq[UInt] = (finalDeqSelValidVec zip finalDeqSelOHVec).map { case (valid, oh) => 127 Mux(valid, oh, 0.U) 128 } 129 val finalDeqMask: UInt = finalDeqOH.reduce(_ | _) 130 131 val deqRespVec = io.deqResp 132 133 val validVec = VecInit(statusArray.io.valid.asBools) 134 val canIssueVec = VecInit(statusArray.io.canIssue.asBools) 135 val clearVec = VecInit(statusArray.io.clear.asBools) 136 val deqFirstIssueVec = VecInit(statusArray.io.deq.map(_.isFirstIssue)) 137 val wakeUpIQOH = statusArray.io.srcWakeUpIQOH 138 139 val wakeupEnqSrcStateBypass = Wire(Vec(io.enq.size, Vec(io.enq.head.bits.srcType.size, SrcState()))) 140 for (i <- io.enq.indices) { 141 for (j <- s0_enqBits(i).srcType.indices) { 142 wakeupEnqSrcStateBypass(i)(j) := Cat( 143 io.wakeupFromWB.map(x => x.bits.wakeUp(Seq((s0_enqBits(i).psrc(j), s0_enqBits(i).srcType(j))), x.valid).head) 144 ).orR 145 } 146 } 147 148 /** 149 * Connection of [[statusArray]] 150 */ 151 statusArray.io match { case statusArrayIO: StatusArrayIO => 152 statusArrayIO.flush <> io.flush 153 statusArrayIO.wakeUpFromIQ := io.wakeupFromIQ 154 statusArrayIO.wakeUpFromWB := io.wakeupFromWB 155 statusArrayIO.enq.zipWithIndex.foreach { case (enq: ValidIO[StatusArrayEnqBundle], i) => 156 enq.valid := s0_doEnqSelValidVec(i) 157 enq.bits.addrOH := s0_enqSelOHVec(i) 158 val numLSrc = s0_enqBits(i).srcType.size.min(enq.bits.data.srcType.size) 159 for (j <- 0 until numLSrc) { 160 enq.bits.data.srcState(j) := s0_enqBits(i).srcState(j) | wakeupEnqSrcStateBypass(i)(j) 161 enq.bits.data.psrc(j) := s0_enqBits(i).psrc(j) 162 enq.bits.data.srcType(j) := s0_enqBits(i).srcType(j) 163 } 164 enq.bits.data.robIdx := s0_enqBits(i).robIdx 165 enq.bits.data.ready := false.B 166 enq.bits.data.issued := false.B 167 enq.bits.data.firstIssue := false.B 168 enq.bits.data.blocked := false.B 169 } 170 statusArrayIO.deq.zipWithIndex.foreach { case (deq, i) => 171 deq.deqSelOH.valid := finalDeqSelValidVec(i) 172 deq.deqSelOH.bits := finalDeqSelOHVec(i) 173 } 174 statusArrayIO.deqResp.zipWithIndex.foreach { case (deqResp, i) => 175 deqResp.valid := io.deqResp(i).valid 176 deqResp.bits.addrOH := io.deqResp(i).bits.addrOH 177 deqResp.bits.dataInvalidSqIdx := io.deqResp(i).bits.dataInvalidSqIdx 178 deqResp.bits.respType := io.deqResp(i).bits.respType 179 deqResp.bits.rfWen := io.deqResp(i).bits.rfWen 180 deqResp.bits.fuType := io.deqResp(i).bits.fuType 181 } 182 statusArrayIO.og0Resp.zipWithIndex.foreach { case (og0Resp, i) => 183 og0Resp.valid := io.og0Resp(i).valid 184 og0Resp.bits.addrOH := io.og0Resp(i).bits.addrOH 185 og0Resp.bits.dataInvalidSqIdx := io.og0Resp(i).bits.dataInvalidSqIdx 186 og0Resp.bits.respType := io.og0Resp(i).bits.respType 187 og0Resp.bits.rfWen := io.og0Resp(i).bits.rfWen 188 og0Resp.bits.fuType := io.og0Resp(i).bits.fuType 189 } 190 statusArrayIO.og1Resp.zipWithIndex.foreach { case (og1Resp, i) => 191 og1Resp.valid := io.og1Resp(i).valid 192 og1Resp.bits.addrOH := io.og1Resp(i).bits.addrOH 193 og1Resp.bits.dataInvalidSqIdx := io.og1Resp(i).bits.dataInvalidSqIdx 194 og1Resp.bits.respType := io.og1Resp(i).bits.respType 195 og1Resp.bits.rfWen := io.og1Resp(i).bits.rfWen 196 og1Resp.bits.fuType := io.og1Resp(i).bits.fuType 197 } 198 } 199 200 /** 201 * Connection of [[immArray]] 202 */ 203 val immArrayRdataVec = immArray.io.read.map(_.data) 204 immArray.io match { case immArrayIO: DataArrayIO[UInt] => 205 immArrayIO.write.zipWithIndex.foreach { case (w, i) => 206 w.en := s0_doEnqSelValidVec(i) && s0_enqImmValidVec(i) 207 w.addr := s0_enqSelOHVec(i) 208 w.data := s0_enqImmVec(i) 209 } 210 immArrayIO.read.zipWithIndex.foreach { case (r, i) => 211 r.addr := finalDeqOH(i) 212 } 213 } 214 215 /** 216 * Connection of [[payloadArray]] 217 */ 218 val payloadArrayRdata = Wire(Vec(params.numDeq, Output(new DynInst))) 219 payloadArray.io match { case payloadArrayIO: DataArrayIO[DynInst] => 220 payloadArrayIO.write.zipWithIndex.foreach { case (w, i) => 221 w.en := s0_doEnqSelValidVec(i) 222 w.addr := s0_enqSelOHVec(i) 223 w.data := s0_enqBits(i) 224 } 225 payloadArrayIO.read.zipWithIndex.foreach { case (r, i) => 226 r.addr := finalDeqOH(i) 227 payloadArrayRdata(i) := r.data 228 } 229 } 230 231 val fuTypeRegVec = Reg(Vec(params.numEntries, FuType())) 232 val fuTypeNextVec = WireInit(fuTypeRegVec) 233 fuTypeRegVec := fuTypeNextVec 234 235 s0_doEnqSelValidVec.zip(s0_enqSelOHVec).zipWithIndex.foreach { case ((valid, oh), i) => 236 when (valid) { 237 fuTypeNextVec(OHToUInt(oh)) := s0_enqBits(i).fuType 238 } 239 } 240 241 enqPolicy match { case ep => 242 ep.io.valid := validVec.asUInt 243 s0_enqSelValidVec := ep.io.enqSelOHVec.map(oh => oh.valid).zip(s0_enqValidVec).zip(io.enq).map { case((sel, enqValid), enq) => enqValid && sel && enq.ready} 244 s0_enqSelOHVec := ep.io.enqSelOHVec.map(oh => oh.bits) 245 } 246 247 protected val commonAccept: UInt = Cat(fuTypeRegVec.map(fuType => 248 Cat(commonFuCfgs.map(_.fuType.U === fuType)).orR 249 ).reverse) 250 251 // if deq port can accept the uop 252 protected val canAcceptVec: Seq[UInt] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 253 Cat(fuTypeRegVec.map(fuType => Cat(fuCfgs.map(_.fuType.U === fuType)).orR).reverse).asUInt 254 } 255 256 protected val deqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 257 fuTypeRegVec.map(fuType => 258 Cat(fuCfgs.map(_.fuType.U === fuType)).asUInt.orR) // C+E0 C+E1 259 } 260 261 subDeqPolicies.zipWithIndex.map { case (dpOption: Option[DeqPolicy], i) => 262 if (dpOption.nonEmpty) { 263 val dp = dpOption.get 264 dp.io.request := canIssueVec.asUInt & VecInit(deqCanAcceptVec(i)).asUInt & (~fuBusyTableMask(i)).asUInt & (~intWbBusyTableMask(i)).asUInt & (~vfWbBusyTableMask(i)).asUInt 265 subDeqSelValidVec(i).get := dp.io.deqSelOHVec.map(oh => oh.valid) 266 subDeqSelOHVec(i).get := dp.io.deqSelOHVec.map(oh => oh.bits) 267 } 268 } 269 270 protected val enqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 271 io.enq.map(_.bits.fuType).map(fuType => 272 Cat(fuCfgs.map(_.fuType.U === fuType)).asUInt.orR) // C+E0 C+E1 273 } 274 275 val ageDetectorEnqVec: Vec[Vec[UInt]] = WireInit(VecInit(Seq.fill(params.numDeq)(VecInit(Seq.fill(params.numEnq)(0.U(params.numEntries.W)))))) 276 277 ageDetectorEnqVec.zip(enqCanAcceptVec) foreach { 278 case (ageDetectorEnq, enqCanAccept) => 279 ageDetectorEnq := enqCanAccept.zip(s0_doEnqOH).map { 280 case (enqCanAccept, s0_doEnqOH) => Mux(enqCanAccept, s0_doEnqOH, 0.U) 281 } 282 } 283 284 val oldestSelVec = (0 until params.numDeq).map { 285 case deqIdx => 286 AgeDetector(numEntries = params.numEntries, 287 enq = ageDetectorEnqVec(deqIdx), 288 deq = clearVec.asUInt, 289 canIssue = canIssueVec.asUInt & (~fuBusyTableMask(deqIdx)).asUInt & (~intWbBusyTableMask(deqIdx)).asUInt & (~vfWbBusyTableMask(deqIdx)).asUInt) 290 } 291 292 finalDeqSelValidVec.head := oldestSelVec.head.valid || subDeqSelValidVec.head.getOrElse(Seq(false.B)).head 293 finalDeqSelOHVec.head := Mux(oldestSelVec.head.valid, oldestSelVec.head.bits, subDeqSelOHVec.head.getOrElse(Seq(0.U)).head) 294 295 if (params.numDeq == 2) { 296 val chooseOldest = oldestSelVec(1).valid && oldestSelVec(1).bits =/= finalDeqSelOHVec.head 297 val choose1stSub = subDeqSelOHVec(1).getOrElse(Seq(0.U)).head =/= finalDeqSelOHVec.head 298 299 finalDeqSelValidVec(1) := MuxCase(subDeqSelValidVec(1).getOrElse(Seq(false.B)).last, Seq( 300 (chooseOldest) -> oldestSelVec(1).valid, 301 (choose1stSub) -> subDeqSelValidVec(1).getOrElse(Seq(false.B)).head) 302 ) 303 finalDeqSelOHVec(1) := MuxCase(subDeqSelOHVec(1).getOrElse(Seq(0.U)).last, Seq( 304 (chooseOldest) -> oldestSelVec(1).bits, 305 (choose1stSub) -> subDeqSelOHVec(1).getOrElse(Seq(0.U)).head) 306 ) 307 } 308 309 //fuBusyTable 310 fuBusyTableWrite.zip(fuBusyTableRead).zipWithIndex.map { case ((busyTableWrite: Option[FuBusyTableWrite], busyTableRead: Option[FuBusyTableRead]), i) => 311 if(busyTableWrite.nonEmpty) { 312 val btwr = busyTableWrite.get 313 val btrd = busyTableRead.get 314 btwr.io.in.deqResp := io.deqResp(i) 315 btwr.io.in.og0Resp := io.og0Resp(i) 316 btwr.io.in.og1Resp := io.og1Resp(i) 317 btrd.io.in.fuBusyTable := btwr.io.out.fuBusyTable 318 btrd.io.in.fuTypeRegVec := fuTypeRegVec 319 fuBusyTableMask(i) := btrd.io.out.fuBusyTableMask 320 } 321 else { 322 fuBusyTableMask(i) := 0.U(params.numEntries.W) 323 } 324 } 325 326 //wbfuBusyTable write 327 intWbBusyTableWrite.zip(intWbBusyTableOut).zip(intDeqRespSetOut).zipWithIndex.map { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 328 if(busyTableWrite.nonEmpty) { 329 val btwr = busyTableWrite.get 330 val bt = busyTable.get 331 val dq = deqResp.get 332 btwr.io.in.deqResp := io.deqResp(i) 333 btwr.io.in.og0Resp := io.og0Resp(i) 334 btwr.io.in.og1Resp := io.og1Resp(i) 335 bt := btwr.io.out.fuBusyTable 336 dq := btwr.io.out.deqRespSet 337 } 338 } 339 340 vfWbBusyTableWrite.zip(vfWbBusyTableOut).zip(vfDeqRespSetOut).zipWithIndex.map { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 341 if (busyTableWrite.nonEmpty) { 342 val btwr = busyTableWrite.get 343 val bt = busyTable.get 344 val dq = deqResp.get 345 btwr.io.in.deqResp := io.deqResp(i) 346 btwr.io.in.og0Resp := io.og0Resp(i) 347 btwr.io.in.og1Resp := io.og1Resp(i) 348 bt := btwr.io.out.fuBusyTable 349 dq := btwr.io.out.deqRespSet 350 } 351 } 352 353 //wbfuBusyTable read 354 intWbBusyTableRead.zip(intWbBusyTableIn).zipWithIndex.map { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 355 if(busyTableRead.nonEmpty) { 356 val btrd = busyTableRead.get 357 val bt = busyTable.get 358 btrd.io.in.fuBusyTable := bt 359 btrd.io.in.fuTypeRegVec := fuTypeRegVec 360 intWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 361 } 362 else { 363 intWbBusyTableMask(i) := 0.U(params.numEntries.W) 364 } 365 } 366 vfWbBusyTableRead.zip(vfWbBusyTableIn).zipWithIndex.map { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 367 if (busyTableRead.nonEmpty) { 368 val btrd = busyTableRead.get 369 val bt = busyTable.get 370 btrd.io.in.fuBusyTable := bt 371 btrd.io.in.fuTypeRegVec := fuTypeRegVec 372 vfWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 373 } 374 else { 375 vfWbBusyTableMask(i) := 0.U(params.numEntries.W) 376 } 377 } 378 379 wakeUpQueues.zipWithIndex.foreach { case (wakeUpQueueOption, i) => 380 wakeUpQueueOption.foreach { 381 wakeUpQueue => 382 wakeUpQueue.io.flush := io.flush 383 wakeUpQueue.io.enq.valid := io.deq(i).valid 384 wakeUpQueue.io.enq.bits.uop := io.deq(i).bits.common 385 wakeUpQueue.io.enq.bits.lat := getDeqLat(i, io.deq(i).bits.common.fuType) 386 } 387 } 388 389 io.deq.zipWithIndex.foreach { case (deq, i) => 390 deq.valid := finalDeqSelValidVec(i) 391 deq.bits.addrOH := finalDeqSelOHVec(i) 392 deq.bits.common.isFirstIssue := deqFirstIssueVec(i) 393 deq.bits.common.iqIdx := OHToUInt(finalDeqSelOHVec(i)) 394 deq.bits.common.fuType := payloadArrayRdata(i).fuType 395 deq.bits.common.fuOpType := payloadArrayRdata(i).fuOpType 396 deq.bits.common.rfWen.foreach(_ := payloadArrayRdata(i).rfWen) 397 deq.bits.common.fpWen.foreach(_ := payloadArrayRdata(i).fpWen) 398 deq.bits.common.vecWen.foreach(_ := payloadArrayRdata(i).vecWen) 399 deq.bits.common.flushPipe.foreach(_ := payloadArrayRdata(i).flushPipe) 400 deq.bits.common.pdest := payloadArrayRdata(i).pdest 401 deq.bits.common.robIdx := payloadArrayRdata(i).robIdx 402 deq.bits.common.imm := immArrayRdataVec(i) 403 deq.bits.rf.zip(payloadArrayRdata(i).psrc).foreach { case (rf, psrc) => 404 rf.foreach(_.addr := psrc) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 405 } 406 deq.bits.rf.zip(payloadArrayRdata(i).srcType).foreach { case (rf, srcType) => 407 rf.foreach(_.srcType := srcType) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 408 } 409 deq.bits.bypass.exuOH.foreach(_ := false.B) 410 for ((iqWakeUp, idx) <- wakeUpIQOH.zipWithIndex) { 411 deq.bits.bypass.exuOH(io.wakeupFromIQ(idx).bits.exuIdx) := iqWakeUp 412 } 413 deq.bits.srcType.zip(payloadArrayRdata(i).srcType).foreach { case (sink, source) => 414 sink := source 415 } 416 deq.bits.immType := payloadArrayRdata(i).selImm 417 } 418 419 io.wakeupToIQ.zipWithIndex.foreach { case (wakeup, i) => 420 if (wakeUpQueues(i).nonEmpty) { 421 wakeup.valid := wakeUpQueues(i).get.io.deq.valid 422 wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits) 423 } else { 424 wakeup.valid := false.B 425 wakeup.bits := 0.U.asTypeOf(wakeup.bits.cloneType) 426 } 427 } 428 429 // Todo: better counter implementation 430 private val validCnt = PopCount(validVec) 431 private val enqSelCnt = PopCount(s0_doEnqSelValidVec) 432 private val validCntNext = validCnt + enqSelCnt 433 io.status.full := validVec.asUInt.andR 434 io.status.empty := !validVec.asUInt.orR 435 io.status.leftVec(0) := io.status.full 436 for (i <- 0 until params.numEnq) { 437 io.status.leftVec(i + 1) := validCnt === (params.numEntries - (i + 1)).U 438 } 439 io.statusNext.full := validCntNext === params.numEntries.U 440 io.statusNext.empty := validCntNext === 0.U // always false now 441 io.statusNext.leftVec(0) := io.statusNext.full 442 for (i <- 0 until params.numEnq) { 443 io.statusNext.leftVec(i + 1) := validCntNext === (params.numEntries - (i + 1)).U 444 } 445 io.enq.foreach(_.ready := !Cat(io.status.leftVec).orR) // Todo: more efficient implementation 446 447 protected def getDeqLat(deqPortIdx: Int, fuType: UInt) : UInt = { 448 ParallelLookUp(fuType, fuLatencyMaps(deqPortIdx).map { case (k, v) => (k.U, v.U) }.toSeq) 449 } 450} 451 452class IssueQueueJumpBundle extends Bundle { 453 val pc = UInt(VAddrData().dataWidth.W) 454 val target = UInt(VAddrData().dataWidth.W) 455} 456 457class IssueQueueLoadBundle(implicit p: Parameters) extends XSBundle { 458 val fastMatch = UInt(backendParams.LduCnt.W) 459 val fastImm = UInt(12.W) 460} 461 462class IssueQueueIntIO()(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 463 val enqJmp = if(params.numPcReadPort > 0) Some(Input(Vec(params.numPcReadPort, new IssueQueueJumpBundle))) else None 464} 465 466class IssueQueueIntImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 467 extends IssueQueueImp(wrapper) 468{ 469 io.suggestName("none") 470 override lazy val io = IO(new IssueQueueIntIO).suggestName("io") 471 val pcArray: Option[DataArray[UInt]] = if(params.needPc) Some(Module( 472 new DataArray(UInt(VAddrData().dataWidth.W), params.numDeq, params.numEnq, params.numEntries) 473 )) else None 474 val targetArray: Option[DataArray[UInt]] = if(params.needPc) Some(Module( 475 new DataArray(UInt(VAddrData().dataWidth.W), params.numDeq, params.numEnq, params.numEntries) 476 )) else None 477 478 if (pcArray.nonEmpty) { 479 val pcArrayIO = pcArray.get.io 480 pcArrayIO.read.zipWithIndex.foreach { case (r, i) => 481 r.addr := finalDeqSelOHVec(i) 482 } 483 pcArrayIO.write.zipWithIndex.foreach { case (w, i) => 484 w.en := s0_doEnqSelValidVec(i) 485 w.addr := s0_enqSelOHVec(i) 486 w.data := io.enq(i).bits.pc 487 } 488 } 489 490 if (targetArray.nonEmpty) { 491 val arrayIO = targetArray.get.io 492 arrayIO.read.zipWithIndex.foreach { case (r, i) => 493 r.addr := finalDeqSelOHVec(i) 494 } 495 arrayIO.write.zipWithIndex.foreach { case (w, i) => 496 w.en := s0_doEnqSelValidVec(i) 497 w.addr := s0_enqSelOHVec(i) 498 w.data := io.enqJmp.get(i).target 499 } 500 } 501 502 io.deq.zipWithIndex.foreach{ case (deq, i) => { 503 deq.bits.jmp.foreach((deqJmp: IssueQueueJumpBundle) => { 504 deqJmp.pc := pcArray.get.io.read(i).data 505 deqJmp.target := targetArray.get.io.read(i).data 506 }) 507 deq.bits.common.preDecode.foreach(_ := payloadArrayRdata(i).preDecodeInfo) 508 deq.bits.common.ftqIdx.foreach(_ := payloadArrayRdata(i).ftqPtr) 509 deq.bits.common.ftqOffset.foreach(_ := payloadArrayRdata(i).ftqOffset) 510 deq.bits.common.predictInfo.foreach(x => { 511 x.target := targetArray.get.io.read(i).data 512 x.taken := payloadArrayRdata(i).pred_taken 513 }) 514 // for std 515 deq.bits.common.sqIdx.foreach(_ := payloadArrayRdata(i).sqIdx) 516 // for i2f 517 deq.bits.common.fpu.foreach(_ := payloadArrayRdata(i).fpu) 518 }} 519} 520 521class IssueQueueVfImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 522 extends IssueQueueImp(wrapper) 523{ 524 statusArray.io match { case statusArrayIO: StatusArrayIO => 525 statusArrayIO.enq.zipWithIndex.foreach { case (enq: ValidIO[StatusArrayEnqBundle], i) => 526 val numLSrc = s0_enqBits(i).srcType.size min enq.bits.data.srcType.size 527 val numPSrc = s0_enqBits(i).srcState.size min enq.bits.data.srcState.size 528 529 for (j <- 0 until numPSrc) { 530 enq.bits.data.srcState(j) := s0_enqBits(i).srcState(j) | wakeupEnqSrcStateBypass(i)(j) 531 enq.bits.data.psrc(j) := s0_enqBits(i).psrc(j) 532 } 533 534 for (j <- 0 until numLSrc) { 535 enq.bits.data.srcType(j) := s0_enqBits(i).srcType(j) 536 } 537 if (enq.bits.data.srcType.isDefinedAt(3)) enq.bits.data.srcType(3) := SrcType.vp // v0: mask src 538 if (enq.bits.data.srcType.isDefinedAt(4)) enq.bits.data.srcType(4) := SrcType.vp // vl&vtype 539 } 540 } 541 io.deq.zipWithIndex.foreach{ case (deq, i) => { 542 deq.bits.common.fpu.foreach(_ := payloadArrayRdata(i).fpu) 543 deq.bits.common.vpu.foreach(_ := payloadArrayRdata(i).vpu) 544 deq.bits.common.vpu.foreach(_.vuopIdx := payloadArrayRdata(i).uopIdx) 545 }} 546} 547 548class IssueQueueMemBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 549 val feedbackIO = Flipped(Vec(params.numDeq, new MemRSFeedbackIO)) 550 val checkWait = new Bundle { 551 val stIssuePtr = Input(new SqPtr) 552 val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 553 } 554 val loadFastMatch = Output(Vec(params.LduCnt, new IssueQueueLoadBundle)) 555} 556 557class IssueQueueMemIO(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 558 val memIO = Some(new IssueQueueMemBundle) 559} 560 561class IssueQueueMemAddrImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 562 extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 563 564 require(params.StdCnt == 0 && (params.LduCnt + params.StaCnt + params.VlduCnt) > 0, "IssueQueueMemAddrImp can only be instance of MemAddr IQ") 565 566 io.suggestName("none") 567 override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 568 private val memIO = io.memIO.get 569 570 for (i <- io.enq.indices) { 571 val blockNotReleased = isAfter(io.enq(i).bits.sqIdx, memIO.checkWait.stIssuePtr) 572 val storeAddrWaitForIsIssuing = VecInit((0 until StorePipelineWidth).map(i => { 573 memIO.checkWait.memWaitUpdateReq.staIssue(i).valid && 574 memIO.checkWait.memWaitUpdateReq.staIssue(i).bits.uop.robIdx.value === io.enq(i).bits.waitForRobIdx.value 575 })).asUInt.orR && !io.enq(i).bits.loadWaitStrict // is waiting for store addr ready 576 s0_enqBits(i).loadWaitBit := io.enq(i).bits.loadWaitBit && !storeAddrWaitForIsIssuing && blockNotReleased 577 } 578 579 for (i <- statusArray.io.enq.indices) { 580 statusArray.io.enq(i).bits.data match { case enqData => 581 enqData.blocked := s0_enqBits(i).loadWaitBit 582 enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict 583 enqData.mem.get.waitForStd := false.B 584 enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx 585 enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later 586 enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx 587 } 588 589 statusArray.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 590 slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 591 slowResp.bits.addrOH := UIntToOH(memIO.feedbackIO(i).feedbackSlow.bits.rsIdx) 592 slowResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid) 593 slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx 594 slowResp.bits.rfWen := DontCare 595 slowResp.bits.fuType := DontCare 596 } 597 598 statusArray.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 599 fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 600 fastResp.bits.addrOH := UIntToOH(memIO.feedbackIO(i).feedbackFast.bits.rsIdx) 601 fastResp.bits.respType := memIO.feedbackIO(i).feedbackFast.bits.sourceType 602 fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx) 603 fastResp.bits.rfWen := DontCare 604 fastResp.bits.fuType := DontCare 605 } 606 607 statusArray.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq 608 statusArray.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr 609 } 610 611 io.deq.zipWithIndex.foreach { case (deq, i) => 612 deq.bits.common.sqIdx.get := payloadArrayRdata(i).sqIdx 613 deq.bits.common.lqIdx.get := payloadArrayRdata(i).lqIdx 614 if (params.isLdAddrIQ) { 615 deq.bits.common.ftqIdx.get := payloadArrayRdata(i).ftqPtr 616 deq.bits.common.ftqOffset.get := payloadArrayRdata(i).ftqOffset 617 } 618 } 619}