1package xiangshan.backend.issue 2 3import chisel3._ 4import chisel3.util._ 5import xiangshan._ 6import xiangshan.utils._ 7 8trait IQConst{ 9 val iqSize = 8 10 val iqIdxWidth = log2Up(iqSize) 11 val layer1Size = iqSize 12 val layer2Size = iqSize/2 13 val layer3Size = iqSize/4 14} 15 16sealed abstract class IQBundle extends XSBundle with IQConst 17sealed abstract class IQModule extends XSModule with IQConst with NeedImpl 18 19sealed class CmpInputBundle extends IQBundle{ 20 val instRdy = Input(Bool()) 21 val roqIdx = Input(UInt(RoqIdxWidth.W)) 22 val iqIdx = Input(UInt(iqIdxWidth.W)) 23} 24 25 26sealed class CompareCircuitUnit(layer: Int = 0, id: Int = 0) extends IQModule { 27 val io = IO(new Bundle(){ 28 val in1 = new CmpInputBundle 29 val in2 = new CmpInputBundle 30 val out = Flipped(new CmpInputBundle) 31 }) 32 33 val roqIdx1 = io.in1.roqIdx 34 val roqIdx2 = io.in2.roqIdx 35 val iqIdx1 = io.in1.iqIdx 36 val iqIdx2 = io.in2.iqIdx 37 38 val inst1Rdy = io.in1.instRdy 39 val inst2Rdy = io.in2.instRdy 40 41 val readySignal = Cat(inst1Rdy,inst2Rdy) 42 43 switch (readySignal) { 44 is ("b00".U) { 45 io.out.instRdy := false.B 46 io.out.roqIdx := DontCare 47 io.out.iqIdx := DontCare 48 } 49 is ("b01".U) { 50 io.out.instRdy := inst2Rdy 51 io.out.roqIdx := roqIdx2 52 io.out.iqIdx := iqIdx2 53 } 54 is ("b10".U) { 55 io.out.instRdy := inst1Rdy 56 io.out.roqIdx := roqIdx1 57 io.out.iqIdx := iqIdx1 58 } 59 is ("b11".U) { 60 when(roqIdx1 < roqIdx2) { 61 io.out.instRdy := inst1Rdy 62 io.out.roqIdx := roqIdx1 63 io.out.iqIdx := iqIdx1 64 } .otherwise { 65 io.out.instRdy := inst2Rdy 66 io.out.roqIdx := roqIdx2 67 io.out.iqIdx := iqIdx2 68 } 69 } 70 } 71 72} 73 74class IssueQueue(val fuTypeInt: BigInt, val wakeupCnt: Int, val bypassCnt: Int) extends IQModule { 75 76 val useBypass = bypassCnt > 0 77 78 val io = IO(new Bundle() { 79 // flush Issue Queue 80 val redirect = Flipped(ValidIO(new Redirect)) 81 82 // enq Ctrl sigs at dispatch-2 83 val enqCtrl = Flipped(DecoupledIO(new MicroOp)) 84 // enq Data at next cycle (regfile has 1 cycle latency) 85 val enqData = Flipped(ValidIO(new ExuInput)) 86 87 // broadcast selected uop to other issue queues which has bypasses 88 val selectedUop = if(useBypass) DecoupledIO(new MicroOp) else null 89 90 // send to exu 91 val deq = DecoupledIO(new ExuInput) 92 93 // listen to write back bus 94 val wakeUpPorts = Vec(wakeupCnt, Flipped(DecoupledIO(new ExuOutput))) 95 96 // use bypass uops to speculative wake-up 97 val bypassUops = if(useBypass) Vec(bypassCnt, Flipped(DecoupledIO(new MicroOp))) else null 98 }) 99 //--------------------------------------------------------- 100 // Issue Queue 101 //--------------------------------------------------------- 102 103 //Tag Queue 104 val ctrlFlow = Mem(iqSize,new CtrlFlow) 105 val ctrlSig = Mem(iqSize,new CtrlSignals) 106 val brMask = RegInit(VecInit(Seq.fill(iqSize)(0.U(BrqSize.W)))) 107 val valid = RegInit(VecInit(Seq.fill(iqSize)(false.B))) 108 val src1Rdy = RegInit(VecInit(Seq.fill(iqSize)(false.B))) 109 val src2Rdy = RegInit(VecInit(Seq.fill(iqSize)(false.B))) 110 val src3Rdy = RegInit(VecInit(Seq.fill(iqSize)(false.B))) 111 val prfSrc1 = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W))) 112 val prfSrc2 = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W))) 113 val prfSrc3 = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W))) 114 val prfDest = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W))) 115 val oldPDest = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W))) 116 val freelistAllocPrt = Reg(Vec(iqSize, UInt(PhyRegIdxWidth.W))) 117 val roqIdx = Reg(Vec(iqSize, UInt(RoqIdxWidth.W))) 118 119 val instRdy = WireInit(VecInit(List.tabulate(iqSize)(i => src1Rdy(i) && src2Rdy(i) && valid(i)))) 120 121 122 //tag enqueue 123 val iqEmty = !valid.asUInt.orR 124 val iqFull = valid.asUInt.andR 125 val iqAllowIn = !iqFull 126 io.enqCtrl.ready := iqAllowIn 127 128 //enqueue pointer 129 val emptySlot = ~valid.asUInt 130 val enqueueSelect = PriorityEncoder(emptySlot) 131 132 when(io.enqCtrl.fire()){ 133 ctrlFlow(enqueueSelect) := io.enqCtrl.bits.cf 134 ctrlSig(enqueueSelect) := io.enqCtrl.bits.ctrl 135 brMask(enqueueSelect) := io.enqCtrl.bits.brMask 136 valid(enqueueSelect) := true.B 137 src1Rdy(enqueueSelect) := io.enqCtrl.bits.src1State === SrcState.rdy 138 src2Rdy(enqueueSelect) := io.enqCtrl.bits.src2State === SrcState.rdy 139 src3Rdy(enqueueSelect) := io.enqCtrl.bits.src3State === SrcState.rdy 140 prfSrc1(enqueueSelect) := io.enqCtrl.bits.psrc1 141 prfSrc2(enqueueSelect) := io.enqCtrl.bits.psrc2 142 prfSrc3(enqueueSelect) := io.enqCtrl.bits.psrc3 143 prfDest(enqueueSelect) := io.enqCtrl.bits.pdest 144 oldPDest(enqueueSelect) := io.enqCtrl.bits.old_pdest 145 freelistAllocPrt(enqueueSelect) := io.enqCtrl.bits.freelistAllocPtr 146 roqIdx(enqueueSelect) := io.enqCtrl.bits.roqIdx 147 148 } 149 150 //Data Queue 151 val src1Data = Reg(Vec(iqSize, UInt(XLEN.W))) 152 val src2Data = Reg(Vec(iqSize, UInt(XLEN.W))) 153 val src3Data = Reg(Vec(iqSize, UInt(XLEN.W))) 154 155 val enqSelNext = RegNext(enqueueSelect) 156 val enqFireNext = RegNext(io.enqCtrl.fire()) 157 158 // Read RegFile 159 when (enqFireNext) { 160 src1Data(enqSelNext) := io.enqData.bits.src1 161 src2Data(enqSelNext) := io.enqData.bits.src2 162 src3Data(enqSelNext) := io.enqData.bits.src3 163 } 164 165 // From Common Data Bus(wakeUpPort) 166 // chisel claims that firrtl will optimize Mux1H to and/or tree 167 // TODO: ignore ALU'cdb srcRdy, for byPass has done it 168 val cdbValid = List.tabulate(wakeupCnt)(i => io.wakeUpPorts(i).valid) 169 val cdbData = List.tabulate(wakeupCnt)(i => io.wakeUpPorts(i).bits.data) 170 val cdbPdest = List.tabulate(wakeupCnt)(i => io.wakeUpPorts(i).bits.uop.pdest) 171 172 val srcNum = 3 173 val prfSrc = List(prfSrc1, prfSrc2, prfSrc3) 174 val srcRdy = List(src1Rdy, src2Rdy, src3Rdy) 175 val srcData = List(src1Data, src2Data, src3Data) 176 val srcHitVec = List.tabulate(srcNum)(k => 177 List.tabulate(iqSize)(i => 178 List.tabulate(wakeupCnt)(j => 179 (prfSrc(k)(i) === cdbPdest(j)) && cdbValid(j)))) 180 val srcHit = List.tabulate(srcNum)(k => 181 List.tabulate(iqSize)(i => 182 ParallelOR(srcHitVec(k)(i)).asBool())) 183 for(k <- 0 until srcNum){ 184 for(i <- 0 until iqSize)( when (valid(i)) { 185 when(!srcRdy(k)(i) && srcHit(k)(i)) { 186 srcRdy(k)(i) := true.B 187 srcData(k)(i) := ParallelMux(srcHitVec(k)(i) zip cdbData) 188 } 189 }) 190 } 191 192 // From byPass [speculative] (just for ALU to listen to other ALU's res, include itself) 193 // just need Tag(Ctrl). send out Tag when Tag is decided. other ALUIQ listen to them and decide Tag 194 // byPassUops is one cycle before byPassDatas 195 if (bypassCnt > 0) { 196 val bypassPdest = List.tabulate(bypassCnt)(i => io.bypassUops(i).bits.pdest) 197 val bypassValid = List.tabulate(bypassCnt)(i => io.bypassUops(i).valid) // may only need valid not fire() 198 val srcBpHitVec = List.tabulate(srcNum)(k => 199 List.tabulate(iqSize)(i => 200 List.tabulate(bypassCnt)(j => 201 (prfSrc(k)(i) === bypassPdest(j)) && bypassValid(j)))) 202 val srcBpHit = List.tabulate(srcNum)(k => 203 List.tabulate(iqSize)(i => 204 ParallelOR(srcBpHitVec(k)(i)).asBool())) 205 for(k <- 0 until srcNum){ 206 for(i <- 0 until iqSize){ when (valid(i)) { 207 when(valid(i) && !srcRdy(k)(i) && srcBpHit(k)(i)) { srcRdy(k)(i) := true.B } 208 }} 209 } 210 } 211 //--------------------------------------------------------- 212 // Select Circuit 213 //--------------------------------------------------------- 214 //layer 1 215 val layer1CCUs = (0 until layer1Size by 2) map { i => 216 val CCU_1 = Module(new CompareCircuitUnit(layer = 1, id = i/2)) 217 CCU_1.io.in1.instRdy := instRdy(i) 218 CCU_1.io.in1.roqIdx := roqIdx(i) 219 CCU_1.io.in1.iqIdx := i.U 220 221 CCU_1.io.in2.instRdy := instRdy(i+1) 222 CCU_1.io.in2.roqIdx := roqIdx(i+1) 223 CCU_1.io.in2.iqIdx := (i+1).U 224 225 CCU_1 226 } 227 228 //layer 2 229 val layer2CCUs = (0 until layer2Size by 2) map { i => 230 val CCU_2 = Module(new CompareCircuitUnit(layer = 2, id = i/2)) 231 CCU_2.io.in1.instRdy := layer1CCUs(i).io.out.instRdy 232 CCU_2.io.in1.roqIdx := layer1CCUs(i).io.out.roqIdx 233 CCU_2.io.in1.iqIdx := layer1CCUs(i).io.out.iqIdx 234 235 CCU_2.io.in2.instRdy := layer1CCUs(i+1).io.out.instRdy 236 CCU_2.io.in2.roqIdx := layer1CCUs(i+1).io.out.roqIdx 237 CCU_2.io.in2.iqIdx := layer1CCUs(i+1).io.out.iqIdx 238 239 CCU_2 240 } 241 242 //layer 3 243 val CCU_3 = Module(new CompareCircuitUnit(layer = 3, id = 0)) 244 CCU_3.io.in1.instRdy := layer2CCUs(0).io.out.instRdy 245 CCU_3.io.in1.roqIdx := layer2CCUs(0).io.out.roqIdx 246 CCU_3.io.in1.iqIdx := layer2CCUs(0).io.out.iqIdx 247 248 CCU_3.io.in2.instRdy := layer2CCUs(1).io.out.instRdy 249 CCU_3.io.in2.roqIdx := layer2CCUs(1).io.out.roqIdx 250 CCU_3.io.in2.iqIdx := layer2CCUs(1).io.out.iqIdx 251 252 253} 254