1package xiangshan.backend.issue 2 3import chipsalliance.rocketchip.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 7import utility.HasCircularQueuePtrHelper 8import utils.{MathUtils, OptionWrapper} 9import xiangshan._ 10import xiangshan.backend.Bundles._ 11import xiangshan.backend.datapath.DataConfig._ 12import xiangshan.backend.datapath.DataSource 13import xiangshan.backend.fu.{FuConfig, FuType} 14import xiangshan.mem.{MemWaitUpdateReq, SqPtr} 15import xiangshan.backend.datapath.NewPipelineConnect 16 17class IssueQueue(params: IssueBlockParams)(implicit p: Parameters) extends LazyModule with HasXSParameter { 18 implicit val iqParams = params 19 lazy val module = iqParams.schdType match { 20 case IntScheduler() => new IssueQueueIntImp(this) 21 case VfScheduler() => new IssueQueueVfImp(this) 22 case MemScheduler() => if (iqParams.StdCnt == 0) new IssueQueueMemAddrImp(this) 23 else new IssueQueueIntImp(this) 24 case _ => null 25 } 26} 27 28class IssueQueueStatusBundle(numEnq: Int) extends Bundle { 29 val empty = Output(Bool()) 30 val full = Output(Bool()) 31 val leftVec = Output(Vec(numEnq + 1, Bool())) 32} 33 34class IssueQueueDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends StatusArrayDeqRespBundle 35 36class IssueQueueIO()(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 37 // Inputs 38 val flush = Flipped(ValidIO(new Redirect)) 39 val enq = Vec(params.numEnq, Flipped(DecoupledIO(new DynInst))) 40 41 val deqResp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 42 val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 43 val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new IssueQueueDeqRespBundle))) 44 val wbBusyTableRead = Input(params.genWbFuBusyTableReadBundle()) 45 val wbBusyTableWrite = Output(params.genWbFuBusyTableWriteBundle()) 46 val wakeupFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 47 val wakeupFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 48 val og0Cancel = Input(ExuVec(backendParams.numExu)) 49 val og1Cancel = Input(ExuVec(backendParams.numExu)) 50 51 // Outputs 52 val deq: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle 53 val wakeupToIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = params.genIQWakeUpSourceValidBundle 54 val status = Output(new IssueQueueStatusBundle(params.numEnq)) 55 val statusNext = Output(new IssueQueueStatusBundle(params.numEnq)) 56 57 val fromCancelNetwork = Flipped(params.genIssueDecoupledBundle) 58 val deqDelay: MixedVec[DecoupledIO[IssueQueueIssueBundle]] = params.genIssueDecoupledBundle// = deq.cloneType 59 def allWakeUp = wakeupFromWB ++ wakeupFromIQ 60} 61 62class IssueQueueImp(override val wrapper: IssueQueue)(implicit p: Parameters, val params: IssueBlockParams) 63 extends LazyModuleImp(wrapper) 64 with HasXSParameter { 65 66 println(s"[IssueQueueImp] ${params.getIQName} wakeupFromWB(${io.wakeupFromWB.size}), " + 67 s"wakeup exu in(${params.wakeUpInExuSources.size}): ${params.wakeUpInExuSources.map(_.name).mkString("{",",","}")}, " + 68 s"wakeup exu out(${params.wakeUpOutExuSources.size}): ${params.wakeUpOutExuSources.map(_.name).mkString("{",",","}")}, " + 69 s"numEntries: ${params.numEntries}, numRegSrc: ${params.numRegSrc}") 70 71 require(params.numExu <= 2, "IssueQueue has not supported more than 2 deq ports") 72 val deqFuCfgs : Seq[Seq[FuConfig]] = params.exuBlockParams.map(_.fuConfigs) 73 val allDeqFuCfgs : Seq[FuConfig] = params.exuBlockParams.flatMap(_.fuConfigs) 74 val fuCfgsCnt : Map[FuConfig, Int] = allDeqFuCfgs.groupBy(x => x).map { case (cfg, cfgSeq) => (cfg, cfgSeq.length) } 75 val commonFuCfgs : Seq[FuConfig] = fuCfgsCnt.filter(_._2 > 1).keys.toSeq 76 val fuLatencyMaps : Seq[Map[Int, Int]] = params.exuBlockParams.map(x => x.fuLatencyMap) 77 78 println(s"[IssueQueueImp] ${params.getIQName} fuLatencyMaps: ${fuLatencyMaps}") 79 println(s"[IssueQueueImp] ${params.getIQName} commonFuCfgs: ${commonFuCfgs.map(_.name)}") 80 lazy val io = IO(new IssueQueueIO()) 81 dontTouch(io.deq) 82 dontTouch(io.deqResp) 83 // Modules 84 val statusArray = Module(StatusArray(p, params)) 85 val immArray = Module(new DataArray(UInt(XLEN.W), params.numDeq, params.numEnq, params.numEntries)) 86 val payloadArray = Module(new DataArray(Output(new DynInst), params.numDeq, params.numEnq, params.numEntries)) 87 val enqPolicy = Module(new EnqPolicy) 88 val subDeqPolicies = deqFuCfgs.map(x => if (x.nonEmpty) Some(Module(new DeqPolicy())) else None) 89 val fuBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableWrite(x.fuLatencyMap))) } 90 val fuBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.latencyValMax > 0, Module(new FuBusyTableRead(x.fuLatencyMap))) } 91 val intWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableWrite(x.intFuLatencyMap))) } 92 val intWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.intLatencyCertain, Module(new FuBusyTableRead(x.intFuLatencyMap))) } 93 val vfWbBusyTableWrite = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableWrite(x.vfFuLatencyMap))) } 94 val vfWbBusyTableRead = params.exuBlockParams.map { case x => OptionWrapper(x.vfLatencyCertain, Module(new FuBusyTableRead(x.vfFuLatencyMap))) } 95 96 val wakeUpQueues: Seq[Option[MultiWakeupQueue[ExuInput, ValidIO[Redirect]]]] = params.exuBlockParams.map { x => OptionWrapper(x.isIQWakeUpSource, Module( 97 new MultiWakeupQueue( 98 new ExuInput(x), 99 ValidIO(new Redirect) , 100 x.fuLatancySet, 101 (exuInput: ExuInput, flush: ValidIO[Redirect]) => exuInput.robIdx.needFlush(flush) 102 ) 103 ))} 104 105 val intWbBusyTableIn = io.wbBusyTableRead.map(_.intWbBusyTable) 106 val vfWbBusyTableIn = io.wbBusyTableRead.map(_.vfWbBusyTable) 107 val intWbBusyTableOut = io.wbBusyTableWrite.map(_.intWbBusyTable) 108 val vfWbBusyTableOut = io.wbBusyTableWrite.map(_.vfWbBusyTable) 109 val intDeqRespSetOut = io.wbBusyTableWrite.map(_.intDeqRespSet) 110 val vfDeqRespSetOut = io.wbBusyTableWrite.map(_.vfDeqRespSet) 111 val fuBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 112 val intWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 113 val vfWbBusyTableMask = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 114 val s0_enqValidVec = io.enq.map(_.valid) 115 val s0_enqSelValidVec = Wire(Vec(params.numEnq, Bool())) 116 val s0_enqSelOHVec = Wire(Vec(params.numEnq, UInt(params.numEntries.W))) 117 val s0_enqNotFlush = !io.flush.valid 118 val s0_enqBits = WireInit(VecInit(io.enq.map(_.bits))) 119 val s0_doEnqSelValidVec = s0_enqSelValidVec.map(_ && s0_enqNotFlush) 120 val s0_doEnqOH: Vec[UInt] = VecInit((s0_doEnqSelValidVec zip s0_enqSelOHVec).map { case (valid, oh) => 121 Mux(valid, oh, 0.U) 122 }) 123 124 val s0_enqImmValidVec = io.enq.map(enq => enq.valid) 125 val s0_enqImmVec = VecInit(io.enq.map(_.bits.imm)) 126 127 // One deq port only need one special deq policy 128 val subDeqSelValidVec: Seq[Option[Vec[Bool]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, Bool())))) 129 val subDeqSelOHVec: Seq[Option[Vec[UInt]]] = subDeqPolicies.map(_.map(_ => Wire(Vec(params.numDeq, UInt(params.numEntries.W))))) 130 131 val finalDeqSelValidVec = Wire(Vec(params.numDeq, Bool())) 132 val finalDeqSelOHVec = Wire(Vec(params.numDeq, UInt(params.numEntries.W))) 133 val finalDeqOH: IndexedSeq[UInt] = (finalDeqSelValidVec zip finalDeqSelOHVec).map { case (valid, oh) => 134 Mux(valid, oh, 0.U) 135 } 136 val finalDeqMask: UInt = finalDeqOH.reduce(_ | _) 137 138 val deqRespVec = io.deqResp 139 140 val validVec = VecInit(statusArray.io.valid.asBools) 141 val canIssueVec = VecInit(statusArray.io.canIssue.asBools) 142 val clearVec = VecInit(statusArray.io.clear.asBools) 143 val deqFirstIssueVec = VecInit(statusArray.io.deq.map(_.isFirstIssue)) 144 145 val dataSources: Vec[Vec[DataSource]] = statusArray.io.dataSources 146 val finalDataSources: Vec[Vec[DataSource]] = VecInit(finalDeqOH.map(oh => Mux1H(oh, dataSources))) 147 // (entryIdx)(srcIdx)(exuIdx) 148 val wakeUpL1ExuOH: Option[Vec[Vec[Vec[Bool]]]] = statusArray.io.srcWakeUpL1ExuOH 149 val srcTimer: Option[Vec[Vec[UInt]]] = statusArray.io.srcTimer 150 151 // (deqIdx)(srcIdx)(exuIdx) 152 val finalWakeUpL1ExuOH: Option[Vec[Vec[Vec[Bool]]]] = wakeUpL1ExuOH.map(x => VecInit(finalDeqOH.map(oh => Mux1H(oh, x)))) 153 val finalSrcTimer = srcTimer.map(x => VecInit(finalDeqOH.map(oh => Mux1H(oh, x)))) 154 155 val wakeupEnqSrcStateBypassFromWB = Wire(Vec(io.enq.size, Vec(io.enq.head.bits.srcType.size, SrcState()))) 156 for (i <- io.enq.indices) { 157 for (j <- s0_enqBits(i).srcType.indices) { 158 wakeupEnqSrcStateBypassFromWB(i)(j) := Cat( 159 io.wakeupFromWB.map(x => x.bits.wakeUp(Seq((s0_enqBits(i).psrc(j), s0_enqBits(i).srcType(j))), x.valid).head) 160 ).orR 161 } 162 } 163 val wakeupEnqSrcStateBypassFromIQ = Wire(Vec(io.enq.size, Vec(io.enq.head.bits.srcType.size, SrcState()))) 164 for (i <- io.enq.indices) { 165 for (j <- s0_enqBits(i).srcType.indices) { 166 wakeupEnqSrcStateBypassFromIQ(i)(j) := Cat( 167 io.wakeupFromIQ.map(x => x.bits.wakeUp(Seq((s0_enqBits(i).psrc(j), s0_enqBits(i).srcType(j))), x.valid).head) 168 ).orR 169 } 170 } 171 val srcWakeUpEnqByIQMatrix = Wire(Vec(params.numEnq, Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())))) 172 srcWakeUpEnqByIQMatrix.zipWithIndex.foreach { case (wakeups: Vec[Vec[Bool]], i) => 173 if (io.wakeupFromIQ.isEmpty) { 174 wakeups := 0.U.asTypeOf(wakeups) 175 } else { 176 val wakeupVec: IndexedSeq[IndexedSeq[Bool]] = io.wakeupFromIQ.map((bundle: ValidIO[IssueQueueIQWakeUpBundle]) => 177 bundle.bits.wakeUp(s0_enqBits(i).psrc.take(params.numRegSrc) zip s0_enqBits(i).srcType.take(params.numRegSrc), bundle.valid) 178 ).transpose 179 wakeups := wakeupVec.map(x => VecInit(x)) 180 } 181 } 182 183 /** 184 * Connection of [[statusArray]] 185 */ 186 statusArray.io match { case statusArrayIO: StatusArrayIO => 187 statusArrayIO.flush <> io.flush 188 statusArrayIO.wakeUpFromIQ := io.wakeupFromIQ 189 statusArrayIO.og0Cancel := io.og0Cancel 190 statusArrayIO.og1Cancel := io.og1Cancel 191 statusArrayIO.wakeUpFromWB := io.wakeupFromWB 192 statusArrayIO.enq.zipWithIndex.foreach { case (enq: ValidIO[StatusArrayEnqBundle], i) => 193 enq.valid := s0_doEnqSelValidVec(i) 194 enq.bits.addrOH := s0_enqSelOHVec(i) 195 val numLSrc = s0_enqBits(i).srcType.size.min(enq.bits.data.srcType.size) 196 for (j <- 0 until numLSrc) { 197 enq.bits.data.srcState(j) := s0_enqBits(i).srcState(j) | wakeupEnqSrcStateBypassFromWB(i)(j) | wakeupEnqSrcStateBypassFromIQ(i)(j) 198 enq.bits.data.psrc(j) := s0_enqBits(i).psrc(j) 199 enq.bits.data.srcType(j) := s0_enqBits(i).srcType(j) 200 enq.bits.data.dataSources(j).value := Mux(wakeupEnqSrcStateBypassFromIQ(i)(j).asBool, DataSource.forward, DataSource.reg) 201 } 202 enq.bits.data.robIdx := s0_enqBits(i).robIdx 203 enq.bits.data.issued := false.B 204 enq.bits.data.firstIssue := false.B 205 enq.bits.data.blocked := false.B 206 enq.bits.data.srcWakeUpL1ExuOH match { 207 case Some(value) => 208 enq.bits.data.srcWakeUpL1ExuOH.get.zip(srcWakeUpEnqByIQMatrix(i)).zipWithIndex.foreach { 209 case ((exuOH, wakeUpByIQOH), srcIdx) => 210 when(wakeUpByIQOH.asUInt.orR) { 211 exuOH := Mux1H(wakeUpByIQOH, io.wakeupFromIQ.map(x => MathUtils.IntToOH(x.bits.exuIdx).U(backendParams.numExu.W))).asBools 212 }.otherwise { 213 exuOH := 0.U.asTypeOf(exuOH) 214 } 215 } 216 case None => 217 } 218 enq.bits.data.srcTimer match { 219 case Some(value) => 220 enq.bits.data.srcTimer.get.zip(srcWakeUpEnqByIQMatrix(i)).zipWithIndex.foreach { 221 case ((timer, wakeUpByIQOH), srcIdx) => 222 when(wakeUpByIQOH.asUInt.orR) { 223 timer := 1.U.asTypeOf(timer) 224 }.otherwise { 225 timer := 0.U.asTypeOf(timer) 226 } 227 } 228 case None => 229 } 230 } 231 statusArrayIO.deq.zipWithIndex.foreach { case (deq, i) => 232 deq.deqSelOH.valid := finalDeqSelValidVec(i) 233 deq.deqSelOH.bits := finalDeqSelOHVec(i) 234 } 235 statusArrayIO.deqResp.zipWithIndex.foreach { case (deqResp, i) => 236 deqResp.valid := io.deqResp(i).valid 237 deqResp.bits.addrOH := io.deqResp(i).bits.addrOH 238 deqResp.bits.dataInvalidSqIdx := io.deqResp(i).bits.dataInvalidSqIdx 239 deqResp.bits.respType := io.deqResp(i).bits.respType 240 deqResp.bits.rfWen := io.deqResp(i).bits.rfWen 241 deqResp.bits.fuType := io.deqResp(i).bits.fuType 242 } 243 statusArrayIO.og0Resp.zipWithIndex.foreach { case (og0Resp, i) => 244 og0Resp.valid := io.og0Resp(i).valid 245 og0Resp.bits.addrOH := io.og0Resp(i).bits.addrOH 246 og0Resp.bits.dataInvalidSqIdx := io.og0Resp(i).bits.dataInvalidSqIdx 247 og0Resp.bits.respType := io.og0Resp(i).bits.respType 248 og0Resp.bits.rfWen := io.og0Resp(i).bits.rfWen 249 og0Resp.bits.fuType := io.og0Resp(i).bits.fuType 250 } 251 statusArrayIO.og1Resp.zipWithIndex.foreach { case (og1Resp, i) => 252 og1Resp.valid := io.og1Resp(i).valid 253 og1Resp.bits.addrOH := io.og1Resp(i).bits.addrOH 254 og1Resp.bits.dataInvalidSqIdx := io.og1Resp(i).bits.dataInvalidSqIdx 255 og1Resp.bits.respType := io.og1Resp(i).bits.respType 256 og1Resp.bits.rfWen := io.og1Resp(i).bits.rfWen 257 og1Resp.bits.fuType := io.og1Resp(i).bits.fuType 258 } 259 } 260 261 /** 262 * Connection of [[immArray]] 263 */ 264 val immArrayRdataVec = immArray.io.read.map(_.data) 265 immArray.io match { case immArrayIO: DataArrayIO[UInt] => 266 immArrayIO.write.zipWithIndex.foreach { case (w, i) => 267 w.en := s0_doEnqSelValidVec(i) && s0_enqImmValidVec(i) 268 w.addr := s0_enqSelOHVec(i) 269 w.data := s0_enqImmVec(i) 270 } 271 immArrayIO.read.zipWithIndex.foreach { case (r, i) => 272 r.addr := finalDeqOH(i) 273 } 274 } 275 276 /** 277 * Connection of [[payloadArray]] 278 */ 279 val payloadArrayRdata = Wire(Vec(params.numDeq, Output(new DynInst))) 280 payloadArray.io match { case payloadArrayIO: DataArrayIO[DynInst] => 281 payloadArrayIO.write.zipWithIndex.foreach { case (w, i) => 282 w.en := s0_doEnqSelValidVec(i) 283 w.addr := s0_enqSelOHVec(i) 284 w.data := s0_enqBits(i) 285 } 286 payloadArrayIO.read.zipWithIndex.foreach { case (r, i) => 287 r.addr := finalDeqOH(i) 288 payloadArrayRdata(i) := r.data 289 } 290 } 291 292 val fuTypeRegVec = Reg(Vec(params.numEntries, FuType())) 293 val fuTypeNextVec = WireInit(fuTypeRegVec) 294 fuTypeRegVec := fuTypeNextVec 295 296 s0_doEnqSelValidVec.zip(s0_enqSelOHVec).zipWithIndex.foreach { case ((valid, oh), i) => 297 when (valid) { 298 fuTypeNextVec(OHToUInt(oh)) := s0_enqBits(i).fuType 299 } 300 } 301 302 enqPolicy match { case ep => 303 ep.io.valid := validVec.asUInt 304 s0_enqSelValidVec := ep.io.enqSelOHVec.map(oh => oh.valid).zip(s0_enqValidVec).zip(io.enq).map { case((sel, enqValid), enq) => enqValid && sel && enq.ready} 305 s0_enqSelOHVec := ep.io.enqSelOHVec.map(oh => oh.bits) 306 } 307 308 protected val commonAccept: UInt = Cat(fuTypeRegVec.map(fuType => 309 Cat(commonFuCfgs.map(_.fuType.U === fuType)).orR 310 ).reverse) 311 312 // if deq port can accept the uop 313 protected val canAcceptVec: Seq[UInt] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 314 Cat(fuTypeRegVec.map(fuType => Cat(fuCfgs.map(_.fuType.U === fuType)).orR).reverse).asUInt 315 } 316 317 protected val deqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 318 fuTypeRegVec.map(fuType => 319 Cat(fuCfgs.map(_.fuType.U === fuType)).asUInt.orR) // C+E0 C+E1 320 } 321 322 subDeqPolicies.zipWithIndex.map { case (dpOption: Option[DeqPolicy], i) => 323 if (dpOption.nonEmpty) { 324 val dp = dpOption.get 325 dp.io.request := canIssueVec.asUInt & VecInit(deqCanAcceptVec(i)).asUInt & (~fuBusyTableMask(i)).asUInt & (~intWbBusyTableMask(i)).asUInt & (~vfWbBusyTableMask(i)).asUInt 326 subDeqSelValidVec(i).get := dp.io.deqSelOHVec.map(oh => oh.valid) 327 subDeqSelOHVec(i).get := dp.io.deqSelOHVec.map(oh => oh.bits) 328 } 329 } 330 331 protected val enqCanAcceptVec: Seq[IndexedSeq[Bool]] = deqFuCfgs.map { fuCfgs: Seq[FuConfig] => 332 io.enq.map(_.bits.fuType).map(fuType => 333 Cat(fuCfgs.map(_.fuType.U === fuType)).asUInt.orR) // C+E0 C+E1 334 } 335 336 val ageDetectorEnqVec: Vec[Vec[UInt]] = WireInit(VecInit(Seq.fill(params.numDeq)(VecInit(Seq.fill(params.numEnq)(0.U(params.numEntries.W)))))) 337 338 ageDetectorEnqVec.zip(enqCanAcceptVec) foreach { 339 case (ageDetectorEnq, enqCanAccept) => 340 ageDetectorEnq := enqCanAccept.zip(s0_doEnqOH).map { 341 case (enqCanAccept, s0_doEnqOH) => Mux(enqCanAccept, s0_doEnqOH, 0.U) 342 } 343 } 344 345 val oldestSelVec = (0 until params.numDeq).map { 346 case deqIdx => 347 AgeDetector(numEntries = params.numEntries, 348 enq = ageDetectorEnqVec(deqIdx), 349 deq = clearVec.asUInt, 350 canIssue = canIssueVec.asUInt & (~fuBusyTableMask(deqIdx)).asUInt & (~intWbBusyTableMask(deqIdx)).asUInt & (~vfWbBusyTableMask(deqIdx)).asUInt) 351 } 352 353 finalDeqSelValidVec.head := oldestSelVec.head.valid || subDeqSelValidVec.head.getOrElse(Seq(false.B)).head 354 finalDeqSelOHVec.head := Mux(oldestSelVec.head.valid, oldestSelVec.head.bits, subDeqSelOHVec.head.getOrElse(Seq(0.U)).head) 355 356 if (params.numDeq == 2) { 357 val chooseOldest = oldestSelVec(1).valid && oldestSelVec(1).bits =/= finalDeqSelOHVec.head 358 val choose1stSub = subDeqSelOHVec(1).getOrElse(Seq(0.U)).head =/= finalDeqSelOHVec.head 359 360 finalDeqSelValidVec(1) := MuxCase(subDeqSelValidVec(1).getOrElse(Seq(false.B)).last, Seq( 361 (chooseOldest) -> oldestSelVec(1).valid, 362 (choose1stSub) -> subDeqSelValidVec(1).getOrElse(Seq(false.B)).head) 363 ) 364 finalDeqSelOHVec(1) := MuxCase(subDeqSelOHVec(1).getOrElse(Seq(0.U)).last, Seq( 365 (chooseOldest) -> oldestSelVec(1).bits, 366 (choose1stSub) -> subDeqSelOHVec(1).getOrElse(Seq(0.U)).head) 367 ) 368 } 369 370 //fuBusyTable 371 fuBusyTableWrite.zip(fuBusyTableRead).zipWithIndex.map { case ((busyTableWrite: Option[FuBusyTableWrite], busyTableRead: Option[FuBusyTableRead]), i) => 372 if(busyTableWrite.nonEmpty) { 373 val btwr = busyTableWrite.get 374 val btrd = busyTableRead.get 375 btwr.io.in.deqResp := io.deqResp(i) 376 btwr.io.in.og0Resp := io.og0Resp(i) 377 btwr.io.in.og1Resp := io.og1Resp(i) 378 btrd.io.in.fuBusyTable := btwr.io.out.fuBusyTable 379 btrd.io.in.fuTypeRegVec := fuTypeRegVec 380 fuBusyTableMask(i) := btrd.io.out.fuBusyTableMask 381 } 382 else { 383 fuBusyTableMask(i) := 0.U(params.numEntries.W) 384 } 385 } 386 387 //wbfuBusyTable write 388 intWbBusyTableWrite.zip(intWbBusyTableOut).zip(intDeqRespSetOut).zipWithIndex.map { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 389 if(busyTableWrite.nonEmpty) { 390 val btwr = busyTableWrite.get 391 val bt = busyTable.get 392 val dq = deqResp.get 393 btwr.io.in.deqResp := io.deqResp(i) 394 btwr.io.in.og0Resp := io.og0Resp(i) 395 btwr.io.in.og1Resp := io.og1Resp(i) 396 bt := btwr.io.out.fuBusyTable 397 dq := btwr.io.out.deqRespSet 398 } 399 } 400 401 vfWbBusyTableWrite.zip(vfWbBusyTableOut).zip(vfDeqRespSetOut).zipWithIndex.map { case (((busyTableWrite: Option[FuBusyTableWrite], busyTable: Option[UInt]), deqResp), i) => 402 if (busyTableWrite.nonEmpty) { 403 val btwr = busyTableWrite.get 404 val bt = busyTable.get 405 val dq = deqResp.get 406 btwr.io.in.deqResp := io.deqResp(i) 407 btwr.io.in.og0Resp := io.og0Resp(i) 408 btwr.io.in.og1Resp := io.og1Resp(i) 409 bt := btwr.io.out.fuBusyTable 410 dq := btwr.io.out.deqRespSet 411 } 412 } 413 414 //wbfuBusyTable read 415 intWbBusyTableRead.zip(intWbBusyTableIn).zipWithIndex.map { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 416 if(busyTableRead.nonEmpty) { 417 val btrd = busyTableRead.get 418 val bt = busyTable.get 419 btrd.io.in.fuBusyTable := bt 420 btrd.io.in.fuTypeRegVec := fuTypeRegVec 421 intWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 422 } 423 else { 424 intWbBusyTableMask(i) := 0.U(params.numEntries.W) 425 } 426 } 427 vfWbBusyTableRead.zip(vfWbBusyTableIn).zipWithIndex.map { case ((busyTableRead: Option[FuBusyTableRead], busyTable: Option[UInt]), i) => 428 if (busyTableRead.nonEmpty) { 429 val btrd = busyTableRead.get 430 val bt = busyTable.get 431 btrd.io.in.fuBusyTable := bt 432 btrd.io.in.fuTypeRegVec := fuTypeRegVec 433 vfWbBusyTableMask(i) := btrd.io.out.fuBusyTableMask 434 } 435 else { 436 vfWbBusyTableMask(i) := 0.U(params.numEntries.W) 437 } 438 } 439 440 wakeUpQueues.zipWithIndex.foreach { case (wakeUpQueueOption, i) => 441 wakeUpQueueOption.foreach { 442 wakeUpQueue => 443 wakeUpQueue.io.flush := io.flush 444 wakeUpQueue.io.enq.valid := io.deq(i).fire && { 445 if (io.deq(i).bits.common.rfWen.isDefined) 446 io.deq(i).bits.common.rfWen.get && io.deq(i).bits.common.pdest =/= 0.U 447 else 448 true.B 449 } 450 wakeUpQueue.io.enq.bits.uop := io.deq(i).bits.common 451 wakeUpQueue.io.enq.bits.lat := getDeqLat(i, io.deq(i).bits.common.fuType) 452 } 453 } 454 455 io.deq.zipWithIndex.foreach { case (deq, i) => 456 deq.valid := finalDeqSelValidVec(i) 457 deq.bits.addrOH := finalDeqSelOHVec(i) 458 deq.bits.common.isFirstIssue := deqFirstIssueVec(i) 459 deq.bits.common.iqIdx := OHToUInt(finalDeqSelOHVec(i)) 460 deq.bits.common.fuType := payloadArrayRdata(i).fuType 461 deq.bits.common.fuOpType := payloadArrayRdata(i).fuOpType 462 deq.bits.common.rfWen.foreach(_ := payloadArrayRdata(i).rfWen) 463 deq.bits.common.fpWen.foreach(_ := payloadArrayRdata(i).fpWen) 464 deq.bits.common.vecWen.foreach(_ := payloadArrayRdata(i).vecWen) 465 deq.bits.common.flushPipe.foreach(_ := payloadArrayRdata(i).flushPipe) 466 deq.bits.common.pdest := payloadArrayRdata(i).pdest 467 deq.bits.common.robIdx := payloadArrayRdata(i).robIdx 468 deq.bits.common.imm := immArrayRdataVec(i) 469 deq.bits.common.dataSources.zip(finalDataSources(i)).zipWithIndex.foreach { 470 case ((sink, source), srcIdx) => 471 sink.value := Mux( 472 SrcType.isXp(payloadArrayRdata(i).srcType(srcIdx)) && payloadArrayRdata(i).psrc(srcIdx) === 0.U, 473 DataSource.none, 474 source.value 475 ) 476 } 477 deq.bits.common.l1ExuVec.foreach(_ := finalWakeUpL1ExuOH.get(i)) 478 deq.bits.common.srcTimer.foreach(_ := finalSrcTimer.get(i)) 479 480 deq.bits.rf.zip(payloadArrayRdata(i).psrc).foreach { case (rf, psrc) => 481 rf.foreach(_.addr := psrc) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 482 } 483 deq.bits.rf.zip(payloadArrayRdata(i).srcType).foreach { case (rf, srcType) => 484 rf.foreach(_.srcType := srcType) // psrc in payload array can be pregIdx of IntRegFile or VfRegFile 485 } 486 deq.bits.srcType.zip(payloadArrayRdata(i).srcType).foreach { case (sink, source) => 487 sink := source 488 } 489 deq.bits.immType := payloadArrayRdata(i).selImm 490 } 491 io.deqDelay.zip(io.fromCancelNetwork).foreach{ case(deqDly, deq) => 492 NewPipelineConnect( 493 deq, deqDly, deqDly.valid, 494 deq.bits.common.robIdx.needFlush(io.flush), 495 Option("Scheduler2DataPathPipe") 496 ) 497 } 498 dontTouch(io.deqDelay) 499 io.wakeupToIQ.zipWithIndex.foreach { case (wakeup, i) => 500 if (wakeUpQueues(i).nonEmpty && finalWakeUpL1ExuOH.nonEmpty) { 501 wakeup.valid := wakeUpQueues(i).get.io.deq.valid 502 wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits, finalWakeUpL1ExuOH.get(i)) 503 } else if (wakeUpQueues(i).nonEmpty) { 504 wakeup.valid := wakeUpQueues(i).get.io.deq.valid 505 wakeup.bits.fromExuInput(wakeUpQueues(i).get.io.deq.bits) 506 } else { 507 wakeup.valid := false.B 508 wakeup.bits := 0.U.asTypeOf(wakeup.bits.cloneType) 509 } 510 } 511 512 // Todo: better counter implementation 513 private val validCnt = PopCount(validVec) 514 private val enqSelCnt = PopCount(s0_doEnqSelValidVec) 515 private val validCntNext = validCnt + enqSelCnt 516 io.status.full := validVec.asUInt.andR 517 io.status.empty := !validVec.asUInt.orR 518 io.status.leftVec(0) := io.status.full 519 for (i <- 0 until params.numEnq) { 520 io.status.leftVec(i + 1) := validCnt === (params.numEntries - (i + 1)).U 521 } 522 io.statusNext.full := validCntNext === params.numEntries.U 523 io.statusNext.empty := validCntNext === 0.U // always false now 524 io.statusNext.leftVec(0) := io.statusNext.full 525 for (i <- 0 until params.numEnq) { 526 io.statusNext.leftVec(i + 1) := validCntNext === (params.numEntries - (i + 1)).U 527 } 528 io.enq.foreach(_.ready := !Cat(io.status.leftVec).orR) // Todo: more efficient implementation 529 530 protected def getDeqLat(deqPortIdx: Int, fuType: UInt) : UInt = { 531 val fuLatUIntMaps: Map[UInt, UInt] = fuLatencyMaps(deqPortIdx).map { case (k, v) => (k.U, v.U) } 532 val lat = WireInit(Mux1H(fuLatUIntMaps.keys.map(_ === fuType).toSeq, fuLatUIntMaps.values.toSeq)) 533 dontTouch(lat) 534 } 535} 536 537class IssueQueueJumpBundle extends Bundle { 538 val pc = UInt(VAddrData().dataWidth.W) 539 val target = UInt(VAddrData().dataWidth.W) 540} 541 542class IssueQueueLoadBundle(implicit p: Parameters) extends XSBundle { 543 val fastMatch = UInt(backendParams.LduCnt.W) 544 val fastImm = UInt(12.W) 545} 546 547class IssueQueueIntIO()(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 548 val enqJmp = if(params.numPcReadPort > 0) Some(Input(Vec(params.numPcReadPort, new IssueQueueJumpBundle))) else None 549} 550 551class IssueQueueIntImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 552 extends IssueQueueImp(wrapper) 553{ 554 io.suggestName("none") 555 override lazy val io = IO(new IssueQueueIntIO).suggestName("io") 556 val pcArray: Option[DataArray[UInt]] = if(params.needPc) Some(Module( 557 new DataArray(UInt(VAddrData().dataWidth.W), params.numDeq, params.numEnq, params.numEntries) 558 )) else None 559 val targetArray: Option[DataArray[UInt]] = if(params.needPc) Some(Module( 560 new DataArray(UInt(VAddrData().dataWidth.W), params.numDeq, params.numEnq, params.numEntries) 561 )) else None 562 563 if (pcArray.nonEmpty) { 564 val pcArrayIO = pcArray.get.io 565 pcArrayIO.read.zipWithIndex.foreach { case (r, i) => 566 r.addr := finalDeqSelOHVec(i) 567 } 568 pcArrayIO.write.zipWithIndex.foreach { case (w, i) => 569 w.en := s0_doEnqSelValidVec(i) 570 w.addr := s0_enqSelOHVec(i) 571 w.data := io.enq(i).bits.pc 572 } 573 } 574 575 if (targetArray.nonEmpty) { 576 val arrayIO = targetArray.get.io 577 arrayIO.read.zipWithIndex.foreach { case (r, i) => 578 r.addr := finalDeqSelOHVec(i) 579 } 580 arrayIO.write.zipWithIndex.foreach { case (w, i) => 581 w.en := s0_doEnqSelValidVec(i) 582 w.addr := s0_enqSelOHVec(i) 583 w.data := io.enqJmp.get(i).target 584 } 585 } 586 587 io.deq.zipWithIndex.foreach{ case (deq, i) => { 588 deq.bits.jmp.foreach((deqJmp: IssueQueueJumpBundle) => { 589 deqJmp.pc := pcArray.get.io.read(i).data 590 deqJmp.target := targetArray.get.io.read(i).data 591 }) 592 deq.bits.common.preDecode.foreach(_ := payloadArrayRdata(i).preDecodeInfo) 593 deq.bits.common.ftqIdx.foreach(_ := payloadArrayRdata(i).ftqPtr) 594 deq.bits.common.ftqOffset.foreach(_ := payloadArrayRdata(i).ftqOffset) 595 deq.bits.common.predictInfo.foreach(x => { 596 x.target := targetArray.get.io.read(i).data 597 x.taken := payloadArrayRdata(i).pred_taken 598 }) 599 // for std 600 deq.bits.common.sqIdx.foreach(_ := payloadArrayRdata(i).sqIdx) 601 // for i2f 602 deq.bits.common.fpu.foreach(_ := payloadArrayRdata(i).fpu) 603 }} 604} 605 606class IssueQueueVfImp(override val wrapper: IssueQueue)(implicit p: Parameters, iqParams: IssueBlockParams) 607 extends IssueQueueImp(wrapper) 608{ 609 statusArray.io match { case statusArrayIO: StatusArrayIO => 610 statusArrayIO.enq.zipWithIndex.foreach { case (enq: ValidIO[StatusArrayEnqBundle], i) => 611 val numLSrc = s0_enqBits(i).srcType.size min enq.bits.data.srcType.size 612 val numPSrc = s0_enqBits(i).srcState.size min enq.bits.data.srcState.size 613 614 if (enq.bits.data.srcType.isDefinedAt(3)) enq.bits.data.srcType(3) := SrcType.vp // v0: mask src 615 if (enq.bits.data.srcType.isDefinedAt(4)) enq.bits.data.srcType(4) := SrcType.vp // vl&vtype 616 } 617 } 618 io.deq.zipWithIndex.foreach{ case (deq, i) => { 619 deq.bits.common.fpu.foreach(_ := payloadArrayRdata(i).fpu) 620 deq.bits.common.vpu.foreach(_ := payloadArrayRdata(i).vpu) 621 deq.bits.common.vpu.foreach(_.vuopIdx := payloadArrayRdata(i).uopIdx) 622 }} 623} 624 625class IssueQueueMemBundle(implicit p: Parameters, params: IssueBlockParams) extends Bundle { 626 val feedbackIO = Flipped(Vec(params.numDeq, new MemRSFeedbackIO)) 627 val checkWait = new Bundle { 628 val stIssuePtr = Input(new SqPtr) 629 val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 630 } 631 val loadFastMatch = Output(Vec(params.LduCnt, new IssueQueueLoadBundle)) 632} 633 634class IssueQueueMemIO(implicit p: Parameters, params: IssueBlockParams) extends IssueQueueIO { 635 val memIO = Some(new IssueQueueMemBundle) 636} 637 638class IssueQueueMemAddrImp(override val wrapper: IssueQueue)(implicit p: Parameters, params: IssueBlockParams) 639 extends IssueQueueImp(wrapper) with HasCircularQueuePtrHelper { 640 641 require(params.StdCnt == 0 && (params.LduCnt + params.StaCnt + params.VlduCnt) > 0, "IssueQueueMemAddrImp can only be instance of MemAddr IQ") 642 643 io.suggestName("none") 644 override lazy val io = IO(new IssueQueueMemIO).suggestName("io") 645 private val memIO = io.memIO.get 646 647 for (i <- io.enq.indices) { 648 val blockNotReleased = isAfter(io.enq(i).bits.sqIdx, memIO.checkWait.stIssuePtr) 649 val storeAddrWaitForIsIssuing = VecInit((0 until StorePipelineWidth).map(i => { 650 memIO.checkWait.memWaitUpdateReq.staIssue(i).valid && 651 memIO.checkWait.memWaitUpdateReq.staIssue(i).bits.uop.robIdx.value === io.enq(i).bits.waitForRobIdx.value 652 })).asUInt.orR && !io.enq(i).bits.loadWaitStrict // is waiting for store addr ready 653 s0_enqBits(i).loadWaitBit := io.enq(i).bits.loadWaitBit && !storeAddrWaitForIsIssuing && blockNotReleased 654 } 655 656 for (i <- statusArray.io.enq.indices) { 657 statusArray.io.enq(i).bits.data match { case enqData => 658 enqData.blocked := false.B // s0_enqBits(i).loadWaitBit 659 enqData.mem.get.strictWait := s0_enqBits(i).loadWaitStrict 660 enqData.mem.get.waitForStd := false.B 661 enqData.mem.get.waitForRobIdx := s0_enqBits(i).waitForRobIdx 662 enqData.mem.get.waitForSqIdx := 0.U.asTypeOf(enqData.mem.get.waitForSqIdx) // generated by sq, will be updated later 663 enqData.mem.get.sqIdx := s0_enqBits(i).sqIdx 664 } 665 666 statusArray.io.fromMem.get.slowResp.zipWithIndex.foreach { case (slowResp, i) => 667 slowResp.valid := memIO.feedbackIO(i).feedbackSlow.valid 668 slowResp.bits.addrOH := UIntToOH(memIO.feedbackIO(i).feedbackSlow.bits.rsIdx) 669 slowResp.bits.respType := Mux(memIO.feedbackIO(i).feedbackSlow.bits.hit, RSFeedbackType.fuIdle, RSFeedbackType.feedbackInvalid) 670 slowResp.bits.dataInvalidSqIdx := memIO.feedbackIO(i).feedbackSlow.bits.dataInvalidSqIdx 671 slowResp.bits.rfWen := DontCare 672 slowResp.bits.fuType := DontCare 673 } 674 675 statusArray.io.fromMem.get.fastResp.zipWithIndex.foreach { case (fastResp, i) => 676 fastResp.valid := memIO.feedbackIO(i).feedbackFast.valid 677 fastResp.bits.addrOH := UIntToOH(memIO.feedbackIO(i).feedbackFast.bits.rsIdx) 678 fastResp.bits.respType := memIO.feedbackIO(i).feedbackFast.bits.sourceType 679 fastResp.bits.dataInvalidSqIdx := 0.U.asTypeOf(fastResp.bits.dataInvalidSqIdx) 680 fastResp.bits.rfWen := DontCare 681 fastResp.bits.fuType := DontCare 682 } 683 684 statusArray.io.fromMem.get.memWaitUpdateReq := memIO.checkWait.memWaitUpdateReq 685 statusArray.io.fromMem.get.stIssuePtr := memIO.checkWait.stIssuePtr 686 } 687 688 io.deq.zipWithIndex.foreach { case (deq, i) => 689 deq.bits.common.sqIdx.get := payloadArrayRdata(i).sqIdx 690 deq.bits.common.lqIdx.get := payloadArrayRdata(i).lqIdx 691 if (params.isLdAddrIQ) { 692 deq.bits.common.ftqIdx.get := payloadArrayRdata(i).ftqPtr 693 deq.bits.common.ftqOffset.get := payloadArrayRdata(i).ftqOffset 694 } 695 } 696}