1package xiangshan.backend.issue 2 3import org.chipsalliance.cde.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import utility.HasCircularQueuePtrHelper 7import utils.{MathUtils, OptionWrapper, XSError} 8import xiangshan._ 9import xiangshan.backend.Bundles._ 10import xiangshan.backend.datapath.DataConfig.VAddrData 11import xiangshan.backend.datapath.DataSource 12import xiangshan.backend.fu.FuType 13import xiangshan.backend.fu.vector.Utils.NOnes 14import xiangshan.backend.rob.RobPtr 15import xiangshan.mem.{MemWaitUpdateReq, SqPtr} 16 17class StatusMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle { 18 val waitForSqIdx = new SqPtr // generated by store data valid check 19 val waitForRobIdx = new RobPtr // generated by store set 20 val waitForStd = Bool() 21 val strictWait = Bool() 22 val sqIdx = new SqPtr 23} 24 25class Status(implicit p:Parameters, params: IssueBlockParams) extends XSBundle { 26 val srcState = Vec(params.numRegSrc, SrcState()) 27 28 val psrc = Vec(params.numRegSrc, UInt(params.rdPregIdxWidth.W)) 29 val srcType = Vec(params.numRegSrc, SrcType()) 30 val fuType = FuType() 31 val robIdx = new RobPtr 32 val issued = Bool() // for predict issue 33 val firstIssue = Bool() 34 val blocked = Bool() // for some block reason 35 // read reg or get data from bypass network 36 val dataSources = Vec(params.numRegSrc, DataSource()) 37 // if waked up by iq, set when waked up by iq 38 val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, ExuOH())) 39 // src timer, used by cancel signal. It increases every cycle after wakeup src inst issued. 40 val srcTimer = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, UInt(3.W))) 41 val issueTimer = UInt(2.W) 42 val deqPortIdx = UInt(1.W) 43 val srcLoadDependency = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(3.W)))) 44 45 46 // mem only 47 val mem = if (params.isMemAddrIQ) Some(new StatusMemPart) else None 48 49 // need pc 50 val pc = if (params.needPc) Some(UInt(VAddrData().dataWidth.W)) else None 51 52 def srcReady: Bool = { 53 VecInit(srcState.map(SrcState.isReady)).asUInt.andR 54 } 55 56 def canIssue: Bool = { 57 srcReady && !issued && !blocked 58 } 59 60 def mergedLoadDependency = { 61 srcLoadDependency.map(_.map(_.toSeq).reduce({ 62 case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2)) 63 }: (Vec[UInt], Vec[UInt]) => Vec[UInt])) 64 } 65} 66 67class EntryDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends Bundle { 68 val robIdx = new RobPtr 69 val respType = RSFeedbackType() // update credit if needs replay 70 val dataInvalidSqIdx = new SqPtr 71 val rfWen = Bool() 72 val fuType = FuType() 73} 74 75class EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 76 val status = new Status() 77 val imm = UInt(XLEN.W) 78 val payload = new DynInst() 79} 80 81class DeqBundle(implicit p:Parameters, params: IssueBlockParams) extends XSBundle { 82 val isFirstIssue = Output(Bool()) 83 val deqSelOH = Flipped(ValidIO(UInt(params.numEntries.W))) 84} 85 86class EntriesIO(implicit p: Parameters, params: IssueBlockParams) extends XSBundle { 87 val flush = Flipped(ValidIO(new Redirect)) 88 // status 89 val valid = Output(UInt(params.numEntries.W)) 90 val canIssue = Output(UInt(params.numEntries.W)) 91 val clear = Output(UInt(params.numEntries.W)) 92 val fuType = Output(Vec(params.numEntries, FuType())) 93 val dataSources = Output(Vec(params.numEntries, Vec(params.numRegSrc, DataSource()))) 94 val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numEntries, Vec(params.numRegSrc, ExuOH())))) 95 val srcTimer = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numEntries, Vec(params.numRegSrc, UInt(3.W))))) 96 //enq 97 val enq = Vec(params.numEnq, Flipped(ValidIO(new EntryBundle))) 98 // wakeup 99 val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle) 100 val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle) 101 val og0Cancel = Input(ExuOH(backendParams.numExu)) 102 val og1Cancel = Input(ExuOH(backendParams.numExu)) 103 val ldCancel = Vec(backendParams.LduCnt, Flipped(new LoadCancelIO)) 104 //deq 105 val deq = Vec(params.numDeq, new DeqBundle) 106 val deqResp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle))) 107 val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle))) 108 val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle))) 109 val finalIssueResp = OptionWrapper(params.LduCnt > 0, Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle)))) 110 val memAddrIssueResp = OptionWrapper(params.LduCnt > 0, Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle)))) 111 val transEntryDeqVec = Vec(params.numEnq, ValidIO(new EntryBundle)) 112 val deqEntry = Vec(params.numDeq, ValidIO(new EntryBundle)) 113 val transSelVec = Output(Vec(params.numEnq, UInt((params.numEntries-params.numEnq).W))) 114 115 116 val rsFeedback = Output(Vec(5, Bool())) 117 // mem only 118 val fromMem = if (params.isMemAddrIQ) Some(new Bundle { 119 val stIssuePtr = Input(new SqPtr) 120 val memWaitUpdateReq = Flipped(new MemWaitUpdateReq) 121 val slowResp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle))) 122 val fastResp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle))) 123 }) else None 124 125 // debug 126 val cancel = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numEntries, Bool()))) 127 128 def wakeup = wakeUpFromWB ++ wakeUpFromIQ 129} 130 131class Entries(implicit p: Parameters, params: IssueBlockParams) extends XSModule { 132 private val EnqEntryNum = params.numEnq 133 private val OthersEntryNum = params.numEntries - params.numEnq 134 val io = IO(new EntriesIO) 135 136 val resps: Vec[Vec[ValidIO[EntryDeqRespBundle]]] = if(params.isLdAddrIQ) VecInit(io.deqResp, io.og0Resp, io.og1Resp, io.memAddrIssueResp.get, io.finalIssueResp.get) 137 else if(params.isMemAddrIQ) VecInit(io.deqResp, io.og0Resp, io.og1Resp, io.fromMem.get.fastResp, io.fromMem.get.slowResp) 138 else VecInit(io.deqResp, io.og0Resp, io.og1Resp, 0.U.asTypeOf(io.deqResp)) 139 140 //Module 141 val enqEntries = Seq.fill(EnqEntryNum)(Module(EnqEntry(p, params))) 142 val othersEntries = Seq.fill(OthersEntryNum)(Module(OthersEntry(p, params))) 143 val transPolicy = Module(new EnqPolicy) 144 145 //Wire 146 val deqSelVec = Wire(Vec(params.numEntries, Bool())) 147 val transSelVec = Wire(Vec(EnqEntryNum, Vec(OthersEntryNum, Bool()))) 148 val issueRespVec = Wire(Vec(params.numEntries, ValidIO(new EntryDeqRespBundle))) 149 val transEntryDeqVec = Wire(Vec(EnqEntryNum, ValidIO(new EntryBundle))) 150 val transEntryEnqVec = Wire(Vec(OthersEntryNum, ValidIO(new EntryBundle))) 151 val entries = Wire(Vec(params.numEntries, ValidIO(new EntryBundle))) 152 153 val validVec = Wire(Vec(params.numEntries, Bool())) 154 val canIssueVec = Wire(Vec(params.numEntries, Bool())) 155 val clearVec = Wire(Vec(params.numEntries, Bool())) 156 val fuTypeVec = Wire(Vec(params.numEntries, FuType())) 157 val dataSourceVec = Wire(Vec(params.numEntries, Vec(params.numRegSrc, DataSource()))) 158 val srcWakeUpL1ExuOHVec = OptionWrapper(params.hasIQWakeUp, Wire(Vec(params.numEntries, Vec(params.numRegSrc, ExuOH())))) 159 val srcTimerVec = OptionWrapper(params.hasIQWakeUp, Wire(Vec(params.numEntries, Vec(params.numRegSrc, UInt(3.W))))) 160 val isFirstIssueVec = Wire(Vec(params.numEntries, Bool())) 161 val robIdxVec = Wire(Vec(params.numEntries, new RobPtr)) 162 val issueTimerVec = Wire(Vec(params.numEntries, UInt(2.W))) 163 val deqPortIdxWriteVec = Wire(Vec(params.numEntries, UInt(1.W))) 164 val deqPortIdxReadVec = Wire(Vec(params.numEntries, UInt(1.W))) 165 val cancelVec = OptionWrapper(params.hasIQWakeUp, Wire(Vec(params.numEntries, Bool()))) 166 167 io.transEntryDeqVec := transEntryDeqVec 168 169 //enqEntries 170 enqEntries.zipWithIndex.foreach { case (enqEntry, entryIdx) => 171 enqEntry.io.enq := io.enq(entryIdx) 172 enqEntry.io.flush := io.flush 173 enqEntry.io.wakeUpFromWB := io.wakeUpFromWB 174 enqEntry.io.wakeUpFromIQ := io.wakeUpFromIQ 175 enqEntry.io.og0Cancel := io.og0Cancel 176 enqEntry.io.og1Cancel := io.og1Cancel 177 enqEntry.io.ldCancel := io.ldCancel 178 enqEntry.io.deqSel := deqSelVec(entryIdx) 179 enqEntry.io.deqPortIdxWrite := deqPortIdxWriteVec(entryIdx) 180 enqEntry.io.transSel := transSelVec(entryIdx).asUInt.orR 181 enqEntry.io.issueResp := issueRespVec(entryIdx) 182 validVec(entryIdx) := enqEntry.io.valid 183 canIssueVec(entryIdx) := enqEntry.io.canIssue 184 clearVec(entryIdx) := enqEntry.io.clear 185 fuTypeVec(entryIdx) := enqEntry.io.fuType 186 dataSourceVec(entryIdx) := enqEntry.io.dataSource 187 robIdxVec(entryIdx) := enqEntry.io.robIdx 188 issueTimerVec(entryIdx) := enqEntry.io.issueTimerRead 189 deqPortIdxReadVec(entryIdx) := enqEntry.io.deqPortIdxRead 190 if (params.hasIQWakeUp) { 191 srcWakeUpL1ExuOHVec.get(entryIdx) := enqEntry.io.srcWakeUpL1ExuOH.get 192 srcTimerVec.get(entryIdx) := enqEntry.io.srcTimer.get 193 cancelVec.get(entryIdx) := enqEntry.io.cancel.get 194 } 195 transEntryDeqVec(entryIdx) := enqEntry.io.transEntry 196 isFirstIssueVec(entryIdx) := enqEntry.io.isFirstIssue 197 entries(entryIdx) := enqEntry.io.entry 198 //for mem 199 if (params.isMemAddrIQ) { 200 enqEntry.io.fromMem.get.stIssuePtr := io.fromMem.get.stIssuePtr 201 enqEntry.io.fromMem.get.memWaitUpdateReq := io.fromMem.get.memWaitUpdateReq 202 } 203 204 } 205 //othersEntries 206 othersEntries.zipWithIndex.foreach { case (othersEntry, entryIdx) => 207 othersEntry.io.enq := transEntryEnqVec(entryIdx) 208 othersEntry.io.flush := io.flush 209 othersEntry.io.wakeUpFromWB := io.wakeUpFromWB 210 othersEntry.io.wakeUpFromIQ := io.wakeUpFromIQ 211 othersEntry.io.og0Cancel := io.og0Cancel 212 othersEntry.io.og1Cancel := io.og1Cancel 213 othersEntry.io.ldCancel := io.ldCancel 214 othersEntry.io.deqSel := deqSelVec(entryIdx + EnqEntryNum) 215 othersEntry.io.deqPortIdxWrite := deqPortIdxWriteVec(entryIdx + EnqEntryNum) 216 othersEntry.io.transSel := transSelVec.map(x => x(entryIdx)).reduce(_ | _) 217 othersEntry.io.issueResp := issueRespVec(entryIdx + EnqEntryNum) 218 validVec(entryIdx + EnqEntryNum) := othersEntry.io.valid 219 canIssueVec(entryIdx + EnqEntryNum) := othersEntry.io.canIssue 220 clearVec(entryIdx + EnqEntryNum) := othersEntry.io.clear 221 fuTypeVec(entryIdx + EnqEntryNum) := othersEntry.io.fuType 222 dataSourceVec(entryIdx + EnqEntryNum) := othersEntry.io.dataSource 223 robIdxVec(entryIdx + EnqEntryNum) := othersEntry.io.robIdx 224 issueTimerVec(entryIdx + EnqEntryNum) := othersEntry.io.issueTimerRead 225 deqPortIdxReadVec(entryIdx + EnqEntryNum) := othersEntry.io.deqPortIdxRead 226 if (params.hasIQWakeUp) { 227 srcWakeUpL1ExuOHVec.get(entryIdx + EnqEntryNum) := othersEntry.io.srcWakeUpL1ExuOH.get 228 srcTimerVec.get(entryIdx + EnqEntryNum) := othersEntry.io.srcTimer.get 229 cancelVec.get(entryIdx + EnqEntryNum) := othersEntry.io.cancel.get 230 } 231 isFirstIssueVec(entryIdx + EnqEntryNum) := othersEntry.io.isFirstIssue 232 entries(entryIdx + EnqEntryNum) := othersEntry.io.entry 233 //for mem 234 if (params.isMemAddrIQ) { 235 othersEntry.io.fromMem.get.stIssuePtr := io.fromMem.get.stIssuePtr 236 othersEntry.io.fromMem.get.memWaitUpdateReq := io.fromMem.get.memWaitUpdateReq 237 } 238 239 } 240 241 242 deqSelVec.zip(deqPortIdxWriteVec).zipWithIndex.foreach { case ((deqSel, deqPortIdxWrite), i) => 243 val deqVec = io.deq.map(x => x.deqSelOH.valid && x.deqSelOH.bits(i)) 244 deqPortIdxWrite := OHToUInt(deqVec) 245 deqSel := deqVec.reduce(_ | _) 246 } 247 248 249 //transPolicy 250 transPolicy.io.valid := VecInit(validVec.slice(EnqEntryNum, params.numEntries)).asUInt 251 transSelVec.zip(transPolicy.io.enqSelOHVec).foreach { case (selBools, selOH) => 252 selBools.zipWithIndex.foreach { case (selBool, i) => 253 selBool := transPolicy.io.enqSelOHVec.map(_.valid).reduce(_ & _) && selOH.bits(i) 254 } 255 } 256 257 //transEntryEnq 258 transEntryEnqVec.zipWithIndex.foreach { case (transEntryEnq, othersIdx) => 259 val transEnqHit = transSelVec.map(x => x(othersIdx)) 260 transEntryEnq := Mux1H(transEnqHit, transEntryDeqVec) 261 } 262 dontTouch(transEntryEnqVec) 263 264 //issueRespVec 265 if(params.isMemAddrIQ){ 266 issueRespVec.zip(robIdxVec).foreach { case (issueResp, robIdx) => 267 val hitRespsVec = VecInit(resps.flatten.map(x => x.valid && (x.bits.robIdx === robIdx))) 268 issueResp.valid := hitRespsVec.reduce(_ | _) 269 issueResp.bits := Mux1H(hitRespsVec, resps.flatten.map(_.bits)) 270 } 271 } 272 else { 273 issueRespVec.zip(issueTimerVec).zip(deqPortIdxReadVec).foreach { case ((issueResp, issueTimer), deqPortIdx) => 274 val Resp = resps(issueTimer)(deqPortIdx) 275 issueResp := Resp 276 } 277 } 278 279 io.valid := validVec.asUInt 280 io.canIssue := canIssueVec.asUInt 281 io.clear := clearVec.asUInt 282 io.fuType := fuTypeVec 283 io.dataSources := dataSourceVec 284 io.srcWakeUpL1ExuOH.foreach(_ := srcWakeUpL1ExuOHVec.get) 285 io.srcTimer.foreach(_ := srcTimerVec.get) 286 io.cancel.foreach(_ := cancelVec.get) 287 io.rsFeedback := 0.U.asTypeOf(io.rsFeedback) //todo 288 io.deq.foreach{ x => 289 x.isFirstIssue := Mux(x.deqSelOH.valid, Mux1H(x.deqSelOH.bits, isFirstIssueVec), false.B) 290 } 291 dontTouch(io.deq) 292 io.deqEntry.zip(io.deq.map(_.deqSelOH)).foreach{ case (deqEntry, deqSelOH) => 293 deqEntry.valid := deqSelOH.valid && entries(OHToUInt(deqSelOH.bits)).valid 294 deqEntry.bits := entries(OHToUInt(deqSelOH.bits)).bits 295 } 296 io.transSelVec.zip(transSelVec).foreach { case (sink, source) => 297 sink := source.asUInt 298 } 299} 300