xref: /XiangShan/src/main/scala/xiangshan/backend/issue/Entries.scala (revision c838dea1eaaf108b103498c2741630bd7dda699f)
15db4956bSzhanglyGitpackage xiangshan.backend.issue
25db4956bSzhanglyGit
383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
45db4956bSzhanglyGitimport chisel3._
55db4956bSzhanglyGitimport chisel3.util._
65db4956bSzhanglyGitimport utility.HasCircularQueuePtrHelper
75db4956bSzhanglyGitimport utils.{MathUtils, OptionWrapper, XSError}
85db4956bSzhanglyGitimport xiangshan._
95db4956bSzhanglyGitimport xiangshan.backend.Bundles._
105db4956bSzhanglyGitimport xiangshan.backend.datapath.DataConfig.VAddrData
115db4956bSzhanglyGitimport xiangshan.backend.datapath.DataSource
125db4956bSzhanglyGitimport xiangshan.backend.fu.FuType
135db4956bSzhanglyGitimport xiangshan.backend.fu.vector.Utils.NOnes
145db4956bSzhanglyGitimport xiangshan.backend.rob.RobPtr
155db4956bSzhanglyGitimport xiangshan.mem.{MemWaitUpdateReq, SqPtr}
165db4956bSzhanglyGit
175db4956bSzhanglyGitclass StatusMemPart(implicit p:Parameters, params: IssueBlockParams) extends Bundle {
185db4956bSzhanglyGit  val waitForSqIdx = new SqPtr   // generated by store data valid check
195db4956bSzhanglyGit  val waitForRobIdx = new RobPtr // generated by store set
205db4956bSzhanglyGit  val waitForStd = Bool()
215db4956bSzhanglyGit  val strictWait = Bool()
225db4956bSzhanglyGit  val sqIdx = new SqPtr
235db4956bSzhanglyGit}
245db4956bSzhanglyGit
250f55a0d3SHaojin Tangclass Status(implicit p:Parameters, params: IssueBlockParams) extends XSBundle {
265db4956bSzhanglyGit  val srcState = Vec(params.numRegSrc, SrcState())
275db4956bSzhanglyGit
285db4956bSzhanglyGit  val psrc = Vec(params.numRegSrc, UInt(params.rdPregIdxWidth.W))
295db4956bSzhanglyGit  val srcType = Vec(params.numRegSrc, SrcType())
305db4956bSzhanglyGit  val fuType = FuType()
315db4956bSzhanglyGit  val robIdx = new RobPtr
325db4956bSzhanglyGit  val issued = Bool()           // for predict issue
335db4956bSzhanglyGit  val firstIssue = Bool()
345db4956bSzhanglyGit  val blocked = Bool()          // for some block reason
355db4956bSzhanglyGit  // read reg or get data from bypass network
365db4956bSzhanglyGit  val dataSources = Vec(params.numRegSrc, DataSource())
375db4956bSzhanglyGit  // if waked up by iq, set when waked up by iq
387a96cc7fSHaojin Tang  val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, ExuOH()))
395db4956bSzhanglyGit  // src timer, used by cancel signal. It increases every cycle after wakeup src inst issued.
405db4956bSzhanglyGit  val srcTimer = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, UInt(3.W)))
415db4956bSzhanglyGit  val issueTimer = UInt(2.W)
425db4956bSzhanglyGit  val deqPortIdx = UInt(1.W)
430f55a0d3SHaojin Tang  val srcLoadDependency = OptionWrapper(params.hasIQWakeUp, Vec(params.numRegSrc, Vec(LoadPipelineWidth, UInt(3.W))))
445db4956bSzhanglyGit
455db4956bSzhanglyGit
465db4956bSzhanglyGit  // mem only
475db4956bSzhanglyGit  val mem = if (params.isMemAddrIQ) Some(new StatusMemPart) else None
485db4956bSzhanglyGit
495db4956bSzhanglyGit  // need pc
505db4956bSzhanglyGit  val pc = if (params.needPc) Some(UInt(VAddrData().dataWidth.W)) else None
515db4956bSzhanglyGit
525db4956bSzhanglyGit  def srcReady: Bool = {
535db4956bSzhanglyGit    VecInit(srcState.map(SrcState.isReady)).asUInt.andR
545db4956bSzhanglyGit  }
555db4956bSzhanglyGit
565db4956bSzhanglyGit  def canIssue: Bool = {
575db4956bSzhanglyGit    srcReady && !issued && !blocked
585db4956bSzhanglyGit  }
590f55a0d3SHaojin Tang
6083ba63b3SXuan Hu  def mergedLoadDependency = {
6183ba63b3SXuan Hu    srcLoadDependency.map(_.map(_.toSeq).reduce({
6283ba63b3SXuan Hu      case (l: Vec[UInt], r: Vec[UInt]) => VecInit(l.zip(r).map(x => x._1 | x._2))
6383ba63b3SXuan Hu    }: (Vec[UInt], Vec[UInt]) => Vec[UInt]))
6483ba63b3SXuan Hu  }
655db4956bSzhanglyGit}
665db4956bSzhanglyGit
675db4956bSzhanglyGitclass EntryDeqRespBundle(implicit p:Parameters, params: IssueBlockParams) extends Bundle {
685db4956bSzhanglyGit  val robIdx = new RobPtr
695db4956bSzhanglyGit  val respType = RSFeedbackType()   // update credit if needs replay
705db4956bSzhanglyGit  val dataInvalidSqIdx = new SqPtr
715db4956bSzhanglyGit  val rfWen = Bool()
725db4956bSzhanglyGit  val fuType = FuType()
735db4956bSzhanglyGit}
745db4956bSzhanglyGit
755db4956bSzhanglyGitclass EntryBundle(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
765db4956bSzhanglyGit  val status = new Status()
775db4956bSzhanglyGit  val imm = UInt(XLEN.W)
785db4956bSzhanglyGit  val payload = new DynInst()
795db4956bSzhanglyGit}
805db4956bSzhanglyGit
815db4956bSzhanglyGitclass DeqBundle(implicit p:Parameters, params: IssueBlockParams) extends XSBundle {
825db4956bSzhanglyGit  val isFirstIssue = Output(Bool())
835db4956bSzhanglyGit  val deqSelOH = Flipped(ValidIO(UInt(params.numEntries.W)))
845db4956bSzhanglyGit}
855db4956bSzhanglyGit
865db4956bSzhanglyGitclass EntriesIO(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
875db4956bSzhanglyGit  val flush = Flipped(ValidIO(new Redirect))
885db4956bSzhanglyGit  // status
895db4956bSzhanglyGit  val valid = Output(UInt(params.numEntries.W))
905db4956bSzhanglyGit  val canIssue = Output(UInt(params.numEntries.W))
915db4956bSzhanglyGit  val clear = Output(UInt(params.numEntries.W))
925db4956bSzhanglyGit  val fuType = Output(Vec(params.numEntries, FuType()))
935db4956bSzhanglyGit  val dataSources = Output(Vec(params.numEntries, Vec(params.numRegSrc, DataSource())))
947a96cc7fSHaojin Tang  val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numEntries, Vec(params.numRegSrc, ExuOH()))))
955db4956bSzhanglyGit  val srcTimer = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numEntries, Vec(params.numRegSrc, UInt(3.W)))))
965db4956bSzhanglyGit  //enq
975db4956bSzhanglyGit  val enq = Vec(params.numEnq, Flipped(ValidIO(new EntryBundle)))
985db4956bSzhanglyGit  // wakeup
995db4956bSzhanglyGit  val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
1005db4956bSzhanglyGit  val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
1017a96cc7fSHaojin Tang  val og0Cancel = Input(ExuOH(backendParams.numExu))
1027a96cc7fSHaojin Tang  val og1Cancel = Input(ExuOH(backendParams.numExu))
1036810d1e8Ssfencevma  val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO))
1045db4956bSzhanglyGit  //deq
1055db4956bSzhanglyGit  val deq = Vec(params.numDeq, new DeqBundle)
1065db4956bSzhanglyGit  val deqResp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle)))
1075db4956bSzhanglyGit  val og0Resp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle)))
1085db4956bSzhanglyGit  val og1Resp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle)))
109*c838dea1SXuan Hu  val finalIssueResp = OptionWrapper(params.LduCnt > 0, Vec(params.LduCnt, Flipped(ValidIO(new EntryDeqRespBundle))))
110*c838dea1SXuan Hu  val memAddrIssueResp = OptionWrapper(params.LduCnt > 0, Vec(params.LduCnt, Flipped(ValidIO(new EntryDeqRespBundle))))
1115db4956bSzhanglyGit  val transEntryDeqVec = Vec(params.numEnq, ValidIO(new EntryBundle))
1125db4956bSzhanglyGit  val deqEntry = Vec(params.numDeq, ValidIO(new EntryBundle))
1135db4956bSzhanglyGit  val transSelVec = Output(Vec(params.numEnq, UInt((params.numEntries-params.numEnq).W)))
1145db4956bSzhanglyGit
1155db4956bSzhanglyGit
1165db4956bSzhanglyGit  val rsFeedback = Output(Vec(5, Bool()))
1175db4956bSzhanglyGit  // mem only
1185db4956bSzhanglyGit  val fromMem = if (params.isMemAddrIQ) Some(new Bundle {
1195db4956bSzhanglyGit    val stIssuePtr = Input(new SqPtr)
1205db4956bSzhanglyGit    val memWaitUpdateReq = Flipped(new MemWaitUpdateReq)
1215db4956bSzhanglyGit    val slowResp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle)))
1225db4956bSzhanglyGit    val fastResp = Vec(params.numDeq, Flipped(ValidIO(new EntryDeqRespBundle)))
1235db4956bSzhanglyGit  }) else None
1245db4956bSzhanglyGit
12589740385Ssinsanction  // debug
12689740385Ssinsanction  val cancel = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numEntries, Bool())))
12789740385Ssinsanction
1285db4956bSzhanglyGit  def wakeup = wakeUpFromWB ++ wakeUpFromIQ
1295db4956bSzhanglyGit}
1305db4956bSzhanglyGit
1315db4956bSzhanglyGitclass Entries(implicit p: Parameters, params: IssueBlockParams) extends XSModule {
1325db4956bSzhanglyGit  private val EnqEntryNum = params.numEnq
1335db4956bSzhanglyGit  private val OthersEntryNum = params.numEntries - params.numEnq
1345db4956bSzhanglyGit  val io = IO(new EntriesIO)
1355db4956bSzhanglyGit
136*c838dea1SXuan Hu  // only memAddrIQ use it
137*c838dea1SXuan Hu  val memEtyResps: MixedVec[ValidIO[EntryDeqRespBundle]] = {
138*c838dea1SXuan Hu    if (params.isLdAddrIQ) MixedVecInit(io.deqResp ++ io.og0Resp ++ io.og1Resp ++ io.memAddrIssueResp.get ++ io.finalIssueResp.get)
139*c838dea1SXuan Hu    else if (params.isMemAddrIQ) MixedVecInit(io.deqResp ++ io.og0Resp ++ io.og1Resp ++ io.fromMem.get.fastResp ++ io.fromMem.get.slowResp)
140*c838dea1SXuan Hu    else MixedVecInit(Seq())
141*c838dea1SXuan Hu  }
142*c838dea1SXuan Hu
143*c838dea1SXuan Hu  val resps: Vec[Vec[ValidIO[EntryDeqRespBundle]]] = VecInit(io.deqResp, io.og0Resp, io.og1Resp, 0.U.asTypeOf(io.deqResp))
1445db4956bSzhanglyGit
1455db4956bSzhanglyGit  //Module
1465db4956bSzhanglyGit  val enqEntries = Seq.fill(EnqEntryNum)(Module(EnqEntry(p, params)))
1475db4956bSzhanglyGit  val othersEntries = Seq.fill(OthersEntryNum)(Module(OthersEntry(p, params)))
1485db4956bSzhanglyGit  val transPolicy = Module(new EnqPolicy)
1495db4956bSzhanglyGit
1505db4956bSzhanglyGit  //Wire
1515db4956bSzhanglyGit  val deqSelVec = Wire(Vec(params.numEntries, Bool()))
1525db4956bSzhanglyGit  val transSelVec = Wire(Vec(EnqEntryNum, Vec(OthersEntryNum, Bool())))
1535db4956bSzhanglyGit  val issueRespVec = Wire(Vec(params.numEntries, ValidIO(new EntryDeqRespBundle)))
1545db4956bSzhanglyGit  val transEntryDeqVec = Wire(Vec(EnqEntryNum, ValidIO(new EntryBundle)))
1555db4956bSzhanglyGit  val transEntryEnqVec = Wire(Vec(OthersEntryNum, ValidIO(new EntryBundle)))
1565db4956bSzhanglyGit  val entries = Wire(Vec(params.numEntries, ValidIO(new EntryBundle)))
1575db4956bSzhanglyGit
1585db4956bSzhanglyGit  val validVec = Wire(Vec(params.numEntries, Bool()))
1595db4956bSzhanglyGit  val canIssueVec = Wire(Vec(params.numEntries, Bool()))
1605db4956bSzhanglyGit  val clearVec = Wire(Vec(params.numEntries, Bool()))
1615db4956bSzhanglyGit  val fuTypeVec = Wire(Vec(params.numEntries, FuType()))
1625db4956bSzhanglyGit  val dataSourceVec = Wire(Vec(params.numEntries, Vec(params.numRegSrc, DataSource())))
1637a96cc7fSHaojin Tang  val srcWakeUpL1ExuOHVec = OptionWrapper(params.hasIQWakeUp, Wire(Vec(params.numEntries, Vec(params.numRegSrc, ExuOH()))))
1645db4956bSzhanglyGit  val srcTimerVec = OptionWrapper(params.hasIQWakeUp, Wire(Vec(params.numEntries, Vec(params.numRegSrc, UInt(3.W)))))
1655db4956bSzhanglyGit  val isFirstIssueVec = Wire(Vec(params.numEntries, Bool()))
1665db4956bSzhanglyGit  val robIdxVec = Wire(Vec(params.numEntries, new RobPtr))
1675db4956bSzhanglyGit  val issueTimerVec = Wire(Vec(params.numEntries, UInt(2.W)))
1685db4956bSzhanglyGit  val deqPortIdxWriteVec = Wire(Vec(params.numEntries, UInt(1.W)))
1695db4956bSzhanglyGit  val deqPortIdxReadVec = Wire(Vec(params.numEntries, UInt(1.W)))
17089740385Ssinsanction  val cancelVec = OptionWrapper(params.hasIQWakeUp, Wire(Vec(params.numEntries, Bool())))
1715db4956bSzhanglyGit
1725db4956bSzhanglyGit  io.transEntryDeqVec := transEntryDeqVec
1735db4956bSzhanglyGit
1745db4956bSzhanglyGit  //enqEntries
1755db4956bSzhanglyGit  enqEntries.zipWithIndex.foreach { case (enqEntry, entryIdx) =>
1765db4956bSzhanglyGit    enqEntry.io.enq := io.enq(entryIdx)
1775db4956bSzhanglyGit    enqEntry.io.flush := io.flush
1785db4956bSzhanglyGit    enqEntry.io.wakeUpFromWB := io.wakeUpFromWB
1795db4956bSzhanglyGit    enqEntry.io.wakeUpFromIQ := io.wakeUpFromIQ
1805db4956bSzhanglyGit    enqEntry.io.og0Cancel := io.og0Cancel
1815db4956bSzhanglyGit    enqEntry.io.og1Cancel := io.og1Cancel
1820f55a0d3SHaojin Tang    enqEntry.io.ldCancel := io.ldCancel
1835db4956bSzhanglyGit    enqEntry.io.deqSel := deqSelVec(entryIdx)
1845db4956bSzhanglyGit    enqEntry.io.deqPortIdxWrite := deqPortIdxWriteVec(entryIdx)
1855db4956bSzhanglyGit    enqEntry.io.transSel := transSelVec(entryIdx).asUInt.orR
1865db4956bSzhanglyGit    enqEntry.io.issueResp := issueRespVec(entryIdx)
1875db4956bSzhanglyGit    validVec(entryIdx) := enqEntry.io.valid
1885db4956bSzhanglyGit    canIssueVec(entryIdx) := enqEntry.io.canIssue
1895db4956bSzhanglyGit    clearVec(entryIdx) := enqEntry.io.clear
1905db4956bSzhanglyGit    fuTypeVec(entryIdx) := enqEntry.io.fuType
1915db4956bSzhanglyGit    dataSourceVec(entryIdx) := enqEntry.io.dataSource
1925db4956bSzhanglyGit    robIdxVec(entryIdx) := enqEntry.io.robIdx
1935db4956bSzhanglyGit    issueTimerVec(entryIdx) := enqEntry.io.issueTimerRead
1945db4956bSzhanglyGit    deqPortIdxReadVec(entryIdx) := enqEntry.io.deqPortIdxRead
1955db4956bSzhanglyGit    if (params.hasIQWakeUp) {
1965db4956bSzhanglyGit      srcWakeUpL1ExuOHVec.get(entryIdx) := enqEntry.io.srcWakeUpL1ExuOH.get
1975db4956bSzhanglyGit      srcTimerVec.get(entryIdx) := enqEntry.io.srcTimer.get
19889740385Ssinsanction      cancelVec.get(entryIdx) := enqEntry.io.cancel.get
1995db4956bSzhanglyGit    }
2005db4956bSzhanglyGit    transEntryDeqVec(entryIdx) := enqEntry.io.transEntry
2015db4956bSzhanglyGit    isFirstIssueVec(entryIdx) := enqEntry.io.isFirstIssue
2025db4956bSzhanglyGit    entries(entryIdx) := enqEntry.io.entry
2035db4956bSzhanglyGit    //for mem
2045db4956bSzhanglyGit    if (params.isMemAddrIQ) {
2055db4956bSzhanglyGit      enqEntry.io.fromMem.get.stIssuePtr := io.fromMem.get.stIssuePtr
2065db4956bSzhanglyGit      enqEntry.io.fromMem.get.memWaitUpdateReq := io.fromMem.get.memWaitUpdateReq
2075db4956bSzhanglyGit    }
2085db4956bSzhanglyGit
2095db4956bSzhanglyGit  }
2105db4956bSzhanglyGit  //othersEntries
2115db4956bSzhanglyGit  othersEntries.zipWithIndex.foreach { case (othersEntry, entryIdx) =>
2125db4956bSzhanglyGit    othersEntry.io.enq := transEntryEnqVec(entryIdx)
2135db4956bSzhanglyGit    othersEntry.io.flush := io.flush
2145db4956bSzhanglyGit    othersEntry.io.wakeUpFromWB := io.wakeUpFromWB
2155db4956bSzhanglyGit    othersEntry.io.wakeUpFromIQ := io.wakeUpFromIQ
2165db4956bSzhanglyGit    othersEntry.io.og0Cancel := io.og0Cancel
2175db4956bSzhanglyGit    othersEntry.io.og1Cancel := io.og1Cancel
2180f55a0d3SHaojin Tang    othersEntry.io.ldCancel := io.ldCancel
2195db4956bSzhanglyGit    othersEntry.io.deqSel := deqSelVec(entryIdx + EnqEntryNum)
2205db4956bSzhanglyGit    othersEntry.io.deqPortIdxWrite := deqPortIdxWriteVec(entryIdx + EnqEntryNum)
2215db4956bSzhanglyGit    othersEntry.io.transSel := transSelVec.map(x => x(entryIdx)).reduce(_ | _)
2225db4956bSzhanglyGit    othersEntry.io.issueResp := issueRespVec(entryIdx + EnqEntryNum)
2235db4956bSzhanglyGit    validVec(entryIdx + EnqEntryNum) := othersEntry.io.valid
2245db4956bSzhanglyGit    canIssueVec(entryIdx + EnqEntryNum) := othersEntry.io.canIssue
2255db4956bSzhanglyGit    clearVec(entryIdx + EnqEntryNum) := othersEntry.io.clear
2265db4956bSzhanglyGit    fuTypeVec(entryIdx + EnqEntryNum) := othersEntry.io.fuType
2275db4956bSzhanglyGit    dataSourceVec(entryIdx + EnqEntryNum) := othersEntry.io.dataSource
2285db4956bSzhanglyGit    robIdxVec(entryIdx + EnqEntryNum) := othersEntry.io.robIdx
2295db4956bSzhanglyGit    issueTimerVec(entryIdx + EnqEntryNum) := othersEntry.io.issueTimerRead
2305db4956bSzhanglyGit    deqPortIdxReadVec(entryIdx + EnqEntryNum) := othersEntry.io.deqPortIdxRead
2315db4956bSzhanglyGit    if (params.hasIQWakeUp) {
2325db4956bSzhanglyGit      srcWakeUpL1ExuOHVec.get(entryIdx + EnqEntryNum) := othersEntry.io.srcWakeUpL1ExuOH.get
2335db4956bSzhanglyGit      srcTimerVec.get(entryIdx + EnqEntryNum) := othersEntry.io.srcTimer.get
23489740385Ssinsanction      cancelVec.get(entryIdx + EnqEntryNum) := othersEntry.io.cancel.get
2355db4956bSzhanglyGit    }
2365db4956bSzhanglyGit    isFirstIssueVec(entryIdx + EnqEntryNum) := othersEntry.io.isFirstIssue
2375db4956bSzhanglyGit    entries(entryIdx + EnqEntryNum) := othersEntry.io.entry
2385db4956bSzhanglyGit    //for mem
2395db4956bSzhanglyGit    if (params.isMemAddrIQ) {
2405db4956bSzhanglyGit      othersEntry.io.fromMem.get.stIssuePtr := io.fromMem.get.stIssuePtr
2415db4956bSzhanglyGit      othersEntry.io.fromMem.get.memWaitUpdateReq := io.fromMem.get.memWaitUpdateReq
2425db4956bSzhanglyGit    }
2435db4956bSzhanglyGit
2445db4956bSzhanglyGit  }
2455db4956bSzhanglyGit
2465db4956bSzhanglyGit
2475db4956bSzhanglyGit  deqSelVec.zip(deqPortIdxWriteVec).zipWithIndex.foreach { case ((deqSel, deqPortIdxWrite), i) =>
2485db4956bSzhanglyGit    val deqVec = io.deq.map(x => x.deqSelOH.valid && x.deqSelOH.bits(i))
2495db4956bSzhanglyGit    deqPortIdxWrite := OHToUInt(deqVec)
2505db4956bSzhanglyGit    deqSel := deqVec.reduce(_ | _)
2515db4956bSzhanglyGit  }
2525db4956bSzhanglyGit
2535db4956bSzhanglyGit
2545db4956bSzhanglyGit  //transPolicy
2555db4956bSzhanglyGit  transPolicy.io.valid := VecInit(validVec.slice(EnqEntryNum, params.numEntries)).asUInt
2565db4956bSzhanglyGit  transSelVec.zip(transPolicy.io.enqSelOHVec).foreach { case (selBools, selOH) =>
2575db4956bSzhanglyGit    selBools.zipWithIndex.foreach { case (selBool, i) =>
2585db4956bSzhanglyGit      selBool := transPolicy.io.enqSelOHVec.map(_.valid).reduce(_ & _) && selOH.bits(i)
2595db4956bSzhanglyGit    }
2605db4956bSzhanglyGit  }
2615db4956bSzhanglyGit
2625db4956bSzhanglyGit  //transEntryEnq
2635db4956bSzhanglyGit  transEntryEnqVec.zipWithIndex.foreach { case (transEntryEnq, othersIdx) =>
2645db4956bSzhanglyGit    val transEnqHit = transSelVec.map(x => x(othersIdx))
2655db4956bSzhanglyGit    transEntryEnq := Mux1H(transEnqHit, transEntryDeqVec)
2665db4956bSzhanglyGit  }
2675db4956bSzhanglyGit  dontTouch(transEntryEnqVec)
2685db4956bSzhanglyGit
2695db4956bSzhanglyGit  //issueRespVec
2705db4956bSzhanglyGit  if(params.isMemAddrIQ){
2715db4956bSzhanglyGit    issueRespVec.zip(robIdxVec).foreach { case (issueResp, robIdx) =>
272*c838dea1SXuan Hu      val hitRespsVec = VecInit(memEtyResps.map(x => x.valid && (x.bits.robIdx === robIdx)).toSeq)
2735db4956bSzhanglyGit      issueResp.valid := hitRespsVec.reduce(_ | _)
274*c838dea1SXuan Hu      issueResp.bits := Mux1H(hitRespsVec, memEtyResps.map(_.bits).toSeq)
2755db4956bSzhanglyGit    }
2765db4956bSzhanglyGit  }
2775db4956bSzhanglyGit  else {
2785db4956bSzhanglyGit    issueRespVec.zip(issueTimerVec).zip(deqPortIdxReadVec).foreach { case ((issueResp, issueTimer), deqPortIdx) =>
2795db4956bSzhanglyGit      val Resp = resps(issueTimer)(deqPortIdx)
2805db4956bSzhanglyGit      issueResp := Resp
2815db4956bSzhanglyGit    }
2825db4956bSzhanglyGit  }
2835db4956bSzhanglyGit
2845db4956bSzhanglyGit  io.valid := validVec.asUInt
2855db4956bSzhanglyGit  io.canIssue := canIssueVec.asUInt
2865db4956bSzhanglyGit  io.clear := clearVec.asUInt
2875db4956bSzhanglyGit  io.fuType := fuTypeVec
2885db4956bSzhanglyGit  io.dataSources := dataSourceVec
2895db4956bSzhanglyGit  io.srcWakeUpL1ExuOH.foreach(_ := srcWakeUpL1ExuOHVec.get)
2905db4956bSzhanglyGit  io.srcTimer.foreach(_ := srcTimerVec.get)
29189740385Ssinsanction  io.cancel.foreach(_ := cancelVec.get)
2925db4956bSzhanglyGit  io.rsFeedback := 0.U.asTypeOf(io.rsFeedback) //todo
2935db4956bSzhanglyGit  io.deq.foreach{ x =>
2945db4956bSzhanglyGit    x.isFirstIssue := Mux(x.deqSelOH.valid, Mux1H(x.deqSelOH.bits, isFirstIssueVec), false.B)
2955db4956bSzhanglyGit  }
2965db4956bSzhanglyGit  dontTouch(io.deq)
2975db4956bSzhanglyGit  io.deqEntry.zip(io.deq.map(_.deqSelOH)).foreach{ case (deqEntry, deqSelOH) =>
2985db4956bSzhanglyGit    deqEntry.valid := deqSelOH.valid && entries(OHToUInt(deqSelOH.bits)).valid
2995db4956bSzhanglyGit    deqEntry.bits := entries(OHToUInt(deqSelOH.bits)).bits
3005db4956bSzhanglyGit  }
3015db4956bSzhanglyGit  io.transSelVec.zip(transSelVec).foreach { case (sink, source) =>
3025db4956bSzhanglyGit    sink := source.asUInt
3035db4956bSzhanglyGit  }
3045db4956bSzhanglyGit}
305