xref: /XiangShan/src/main/scala/xiangshan/backend/issue/EnqEntry.scala (revision 2d27051128ac56f66caac14c2ba5756e25dab3cc)
15db4956bSzhanglyGitpackage xiangshan.backend.issue
25db4956bSzhanglyGit
383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
45db4956bSzhanglyGitimport chisel3._
55db4956bSzhanglyGitimport chisel3.util._
65db4956bSzhanglyGitimport utility.HasCircularQueuePtrHelper
75db4956bSzhanglyGitimport utils.{MathUtils, OptionWrapper}
85db4956bSzhanglyGitimport xiangshan._
95db4956bSzhanglyGitimport xiangshan.backend.Bundles._
105db4956bSzhanglyGitimport xiangshan.backend.fu.FuType
115db4956bSzhanglyGitimport xiangshan.backend.datapath.DataSource
125db4956bSzhanglyGitimport xiangshan.backend.rob.RobPtr
13*2d270511Ssinsanctionimport xiangshan.mem.{MemWaitUpdateReq, SqPtr, LqPtr}
145db4956bSzhanglyGit
155db4956bSzhanglyGit
165db4956bSzhanglyGitclass EnqEntryIO(implicit p: Parameters, params: IssueBlockParams) extends XSBundle {
175db4956bSzhanglyGit  //input
185db4956bSzhanglyGit  val enq = Flipped(ValidIO(new EntryBundle))
195db4956bSzhanglyGit  val flush = Flipped(ValidIO(new Redirect))
205db4956bSzhanglyGit  val wakeUpFromWB: MixedVec[ValidIO[IssueQueueWBWakeUpBundle]] = Flipped(params.genWBWakeUpSinkValidBundle)
215db4956bSzhanglyGit  val wakeUpFromIQ: MixedVec[ValidIO[IssueQueueIQWakeUpBundle]] = Flipped(params.genIQWakeUpSinkValidBundle)
227a96cc7fSHaojin Tang  val og0Cancel = Input(ExuOH(backendParams.numExu))
237a96cc7fSHaojin Tang  val og1Cancel = Input(ExuOH(backendParams.numExu))
246810d1e8Ssfencevma  val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO))
255db4956bSzhanglyGit  val deqSel = Input(Bool())
265db4956bSzhanglyGit  val deqPortIdxWrite = Input(UInt(1.W))
275db4956bSzhanglyGit  val transSel = Input(Bool())
285db4956bSzhanglyGit  val issueResp = Flipped(ValidIO(new EntryDeqRespBundle))
295db4956bSzhanglyGit  //output
305db4956bSzhanglyGit  val valid = Output(Bool())
315db4956bSzhanglyGit  val canIssue = Output(Bool())
325db4956bSzhanglyGit  val clear = Output(Bool())
335db4956bSzhanglyGit  val fuType = Output(FuType())
345db4956bSzhanglyGit  val dataSource = Output(Vec(params.numRegSrc, DataSource()))
357a96cc7fSHaojin Tang  val srcWakeUpL1ExuOH = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numRegSrc, ExuOH())))
365db4956bSzhanglyGit  val srcTimer = OptionWrapper(params.hasIQWakeUp, Output(Vec(params.numRegSrc, UInt(3.W))))
375db4956bSzhanglyGit  val transEntry =  ValidIO(new EntryBundle)
385db4956bSzhanglyGit  val isFirstIssue = Output(Bool())
395db4956bSzhanglyGit  val entry = ValidIO(new EntryBundle)
405db4956bSzhanglyGit  val robIdx = Output(new RobPtr)
41*2d270511Ssinsanction  val uopIdx = OptionWrapper(params.isVecMemIQ, Output(UopIdx()))
425db4956bSzhanglyGit  val deqPortIdxRead = Output(UInt(1.W))
435db4956bSzhanglyGit  val issueTimerRead = Output(UInt(2.W))
445db4956bSzhanglyGit  // mem only
455db4956bSzhanglyGit  val fromMem = if(params.isMemAddrIQ) Some(new Bundle {
465db4956bSzhanglyGit    val stIssuePtr = Input(new SqPtr)
475db4956bSzhanglyGit    val memWaitUpdateReq = Flipped(new MemWaitUpdateReq)
485db4956bSzhanglyGit  }) else None
49*2d270511Ssinsanction  // vector mem only
50*2d270511Ssinsanction  val fromLsq = OptionWrapper(params.isVecMemIQ, new Bundle {
51*2d270511Ssinsanction    val sqDeqPtr = Input(new SqPtr)
52*2d270511Ssinsanction    val lqDeqPtr = Input(new LqPtr)
53*2d270511Ssinsanction  })
5489740385Ssinsanction  // debug
5589740385Ssinsanction  val cancel = OptionWrapper(params.hasIQWakeUp, Output(Bool()))
565db4956bSzhanglyGit
575db4956bSzhanglyGit  def wakeup = wakeUpFromWB ++ wakeUpFromIQ
585db4956bSzhanglyGit}
595db4956bSzhanglyGit
605db4956bSzhanglyGitclass EnqEntry(implicit p: Parameters, params: IssueBlockParams) extends XSModule {
615db4956bSzhanglyGit  val io = IO(new EnqEntryIO)
625db4956bSzhanglyGit
635db4956bSzhanglyGit  val validReg = RegInit(false.B)
645db4956bSzhanglyGit  val entryReg = Reg(new EntryBundle)
655db4956bSzhanglyGit
665db4956bSzhanglyGit  val validRegNext = Wire(Bool())
675db4956bSzhanglyGit  val entryRegNext = Wire(new EntryBundle)
685db4956bSzhanglyGit  val entryUpdate = Wire(new EntryBundle)
695db4956bSzhanglyGit  val enqReady = Wire(Bool())
705db4956bSzhanglyGit  val clear = Wire(Bool())
715db4956bSzhanglyGit  val flushed = Wire(Bool())
725db4956bSzhanglyGit  val deqSuccess = Wire(Bool())
735db4956bSzhanglyGit  val srcWakeUp = Wire(Vec(params.numRegSrc, Bool()))
745db4956bSzhanglyGit  val srcCancelVec = OptionWrapper(params.hasIQWakeUp, Wire(Vec(params.numRegSrc, Bool())))
750f55a0d3SHaojin Tang  val srcLoadCancelVec = OptionWrapper(params.hasIQWakeUp, Wire(Vec(params.numRegSrc, Bool())))
765db4956bSzhanglyGit  val srcWakeUpByIQVec = Wire(Vec(params.numRegSrc, Vec(params.numWakeupFromIQ, Bool())))
770f55a0d3SHaojin Tang  val wakeupLoadDependencyByIQVec = Wire(Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))))
780f55a0d3SHaojin Tang  val shiftedWakeupLoadDependencyByIQVec = Wire(Vec(params.numWakeupFromIQ, Vec(LoadPipelineWidth, UInt(3.W))))
7989740385Ssinsanction  val cancelVec = OptionWrapper(params.hasIQWakeUp, Wire(Vec(params.numRegSrc, Bool())))
805db4956bSzhanglyGit
815db4956bSzhanglyGit  //Reg
825db4956bSzhanglyGit  validReg := validRegNext
835db4956bSzhanglyGit  entryReg := entryRegNext
845db4956bSzhanglyGit
855db4956bSzhanglyGit  //Wire
865db4956bSzhanglyGit  when(io.enq.valid && enqReady) {
875db4956bSzhanglyGit    validRegNext := true.B
885db4956bSzhanglyGit  }.elsewhen(clear) {
895db4956bSzhanglyGit    validRegNext := false.B
905db4956bSzhanglyGit  }.otherwise {
915db4956bSzhanglyGit    validRegNext := validReg
925db4956bSzhanglyGit  }
935db4956bSzhanglyGit
945db4956bSzhanglyGit  when(io.enq.valid && enqReady) {
955db4956bSzhanglyGit    entryRegNext := io.enq.bits
965db4956bSzhanglyGit  }.otherwise {
975db4956bSzhanglyGit    entryRegNext := entryUpdate
985db4956bSzhanglyGit  }
995db4956bSzhanglyGit
1005db4956bSzhanglyGit  enqReady := !validReg || clear
1015db4956bSzhanglyGit  clear := flushed || io.transSel || deqSuccess
1025db4956bSzhanglyGit  flushed := entryReg.status.robIdx.needFlush(io.flush)
1030f55a0d3SHaojin Tang  deqSuccess := io.issueResp.valid && io.issueResp.bits.respType === RSFeedbackType.fuIdle && !srcLoadCancelVec.map(_.reduce(_ || _)).getOrElse(false.B)
10483ba63b3SXuan Hu  srcWakeUp := io.wakeup.map(bundle => bundle.bits.wakeUp(entryReg.status.psrc zip entryReg.status.srcType, bundle.valid)).transpose.map(x => VecInit(x.toSeq).asUInt.orR).toSeq
1055db4956bSzhanglyGit
1060f55a0d3SHaojin Tang  shiftedWakeupLoadDependencyByIQVec
1070f55a0d3SHaojin Tang    .zip(wakeupLoadDependencyByIQVec)
1080f55a0d3SHaojin Tang    .zip(params.wakeUpInExuSources.map(_.name)).foreach {
1090f55a0d3SHaojin Tang    case ((deps, originalDeps), name) => deps.zip(originalDeps).zipWithIndex.foreach {
1100f55a0d3SHaojin Tang      case ((dep, originalDep), deqPortIdx) =>
111a9ffe60aSHaojin Tang        if (params.backendParam.getLdExuIdx(params.backendParam.allExuParams.find(_.name == name).get) == deqPortIdx)
11283ba63b3SXuan Hu          dep := (originalDep << 1).asUInt | 1.U
1130f55a0d3SHaojin Tang        else
1140f55a0d3SHaojin Tang          dep := originalDep << 1
1150f55a0d3SHaojin Tang    }
1160f55a0d3SHaojin Tang  }
1170f55a0d3SHaojin Tang
1185db4956bSzhanglyGit  if (params.hasIQWakeUp) {
1190f55a0d3SHaojin Tang    srcCancelVec.get.zip(srcLoadCancelVec.get).zip(srcWakeUpByIQVec).zipWithIndex.foreach { case (((srcCancel, srcLoadCancel), wakeUpByIQVec), srcIdx) =>
1205db4956bSzhanglyGit      // level1 cancel: A(s)->C, A(s) are the level1 cancel
1215db4956bSzhanglyGit      val l1Cancel = (io.og0Cancel.asUInt & entryReg.status.srcWakeUpL1ExuOH.get(srcIdx).asUInt).orR &&
1225db4956bSzhanglyGit        entryReg.status.srcTimer.get(srcIdx) === 1.U
1230f55a0d3SHaojin Tang      val ldTransCancel = Mux(
1240f55a0d3SHaojin Tang        wakeUpByIQVec.asUInt.orR,
1250f55a0d3SHaojin Tang        Mux1H(wakeUpByIQVec, wakeupLoadDependencyByIQVec.map(dep => LoadShouldCancel(Some(dep), io.ldCancel))),
1260f55a0d3SHaojin Tang        false.B
1270f55a0d3SHaojin Tang      )
1280f55a0d3SHaojin Tang      srcLoadCancel := LoadShouldCancel(entryReg.status.srcLoadDependency.map(_(srcIdx)), io.ldCancel)
1290f55a0d3SHaojin Tang      srcCancel := l1Cancel || srcLoadCancel || ldTransCancel
1305db4956bSzhanglyGit    }
1315db4956bSzhanglyGit  }
1325db4956bSzhanglyGit
1335db4956bSzhanglyGit  if (io.wakeUpFromIQ.isEmpty) {
1345db4956bSzhanglyGit    srcWakeUpByIQVec := 0.U.asTypeOf(srcWakeUpByIQVec)
1350f55a0d3SHaojin Tang    wakeupLoadDependencyByIQVec := 0.U.asTypeOf(wakeupLoadDependencyByIQVec)
1365db4956bSzhanglyGit  } else {
1375db4956bSzhanglyGit    val wakeupVec: IndexedSeq[IndexedSeq[Bool]] = io.wakeUpFromIQ.map((bundle: ValidIO[IssueQueueIQWakeUpBundle]) =>
1385db4956bSzhanglyGit      bundle.bits.wakeUp(entryReg.status.psrc zip entryReg.status.srcType, bundle.valid)
13983ba63b3SXuan Hu    ).toIndexedSeq.transpose
1405db4956bSzhanglyGit    srcWakeUpByIQVec := wakeupVec.map(x => VecInit(x))
14183ba63b3SXuan Hu    wakeupLoadDependencyByIQVec := io.wakeUpFromIQ.map(_.bits.loadDependency).toSeq
1425db4956bSzhanglyGit  }
1435db4956bSzhanglyGit
1445db4956bSzhanglyGit  //entryUpdate
1455db4956bSzhanglyGit  entryUpdate.status.srcState.zip(entryReg.status.srcState).zip(srcWakeUp).zipWithIndex.foreach { case (((stateNext, state), wakeup), srcIdx) =>
1465db4956bSzhanglyGit    val cancel = srcCancelVec.map(_ (srcIdx)).getOrElse(false.B)
1475db4956bSzhanglyGit    stateNext := Mux(cancel, false.B, wakeup | state)
14889740385Ssinsanction    if (params.hasIQWakeUp) {
14989740385Ssinsanction      cancelVec.get(srcIdx) := cancel
15089740385Ssinsanction    }
1515db4956bSzhanglyGit  }
1525db4956bSzhanglyGit  entryUpdate.status.dataSources.zip(entryReg.status.dataSources).zip(srcWakeUpByIQVec).foreach {
1535db4956bSzhanglyGit    case ((dataSourceNext: DataSource, dataSource: DataSource), wakeUpByIQOH: Vec[Bool]) =>
1545db4956bSzhanglyGit      when(wakeUpByIQOH.asUInt.orR) {
1555db4956bSzhanglyGit        dataSourceNext.value := DataSource.forward
1565db4956bSzhanglyGit      }.elsewhen(dataSource.value === DataSource.forward) {
1575db4956bSzhanglyGit        dataSourceNext.value := DataSource.bypass
1585db4956bSzhanglyGit      }.otherwise {
1595db4956bSzhanglyGit        dataSourceNext.value := DataSource.reg
1605db4956bSzhanglyGit      }
1615db4956bSzhanglyGit  }
1625db4956bSzhanglyGit  if (params.hasIQWakeUp) {
1630f55a0d3SHaojin Tang    entryUpdate.status.srcWakeUpL1ExuOH.get.zip(srcWakeUpByIQVec).zip(srcWakeUp).zipWithIndex.foreach {
1647a96cc7fSHaojin Tang      case (((exuOH: UInt, wakeUpByIQOH: Vec[Bool]), wakeUp: Bool), srcIdx) =>
1655db4956bSzhanglyGit        when(wakeUpByIQOH.asUInt.orR) {
1667a96cc7fSHaojin Tang          exuOH := Mux1H(wakeUpByIQOH, io.wakeUpFromIQ.toSeq.map(x => MathUtils.IntToOH(x.bits.exuIdx).U(backendParams.numExu.W)))
1670f55a0d3SHaojin Tang        }.elsewhen(wakeUp) {
1680f55a0d3SHaojin Tang          exuOH := 0.U.asTypeOf(exuOH)
1695db4956bSzhanglyGit        }.otherwise {
1705db4956bSzhanglyGit          exuOH := entryReg.status.srcWakeUpL1ExuOH.get(srcIdx)
1715db4956bSzhanglyGit        }
1725db4956bSzhanglyGit    }
1735db4956bSzhanglyGit    entryUpdate.status.srcTimer.get.zip(entryReg.status.srcTimer.get).zip(srcWakeUpByIQVec).zipWithIndex.foreach {
1745db4956bSzhanglyGit      case (((srcIssuedTimerNext, srcIssuedTimer), wakeUpByIQOH: Vec[Bool]), srcIdx) =>
1755db4956bSzhanglyGit        srcIssuedTimerNext := MuxCase(0.U, Seq(
1765db4956bSzhanglyGit          // T0: waked up by IQ, T1: reset timer as 1
1775db4956bSzhanglyGit          wakeUpByIQOH.asUInt.orR -> 1.U,
1785db4956bSzhanglyGit          // do not overflow
1795db4956bSzhanglyGit          srcIssuedTimer.andR -> srcIssuedTimer,
1800f55a0d3SHaojin Tang          // T2+: increase if the entry is valid, the src is ready, and the src is woken up by iq
1815db4956bSzhanglyGit          (validReg && SrcState.isReady(entryReg.status.srcState(srcIdx)) && entryReg.status.srcWakeUpL1ExuOH.get.asUInt.orR) -> (srcIssuedTimer + 1.U)
1825db4956bSzhanglyGit        ))
1835db4956bSzhanglyGit    }
1840f55a0d3SHaojin Tang    entryUpdate.status.srcLoadDependency.get.zip(entryReg.status.srcLoadDependency.get).zip(srcWakeUpByIQVec).zip(srcWakeUp).foreach {
1850f55a0d3SHaojin Tang      case (((loadDependencyNext, loadDependency), wakeUpByIQVec), wakeup) =>
1860f55a0d3SHaojin Tang        loadDependencyNext :=
1870f55a0d3SHaojin Tang          Mux(wakeup,
1880f55a0d3SHaojin Tang            Mux(wakeUpByIQVec.asUInt.orR, Mux1H(wakeUpByIQVec, shiftedWakeupLoadDependencyByIQVec), 0.U.asTypeOf(loadDependency)),
1890f55a0d3SHaojin Tang            Mux(validReg && loadDependency.asUInt.orR, VecInit(loadDependency.map(i => i(i.getWidth - 2, 0) << 1)), loadDependency)
1900f55a0d3SHaojin Tang          )
1910f55a0d3SHaojin Tang    }
1925db4956bSzhanglyGit  }
1935db4956bSzhanglyGit  entryUpdate.status.issueTimer := "b11".U //otherwise
1945db4956bSzhanglyGit  entryUpdate.status.deqPortIdx := 0.U //otherwise
1955db4956bSzhanglyGit  when(io.deqSel) {
1965db4956bSzhanglyGit    entryUpdate.status.issueTimer := 1.U
1975db4956bSzhanglyGit    entryUpdate.status.deqPortIdx := io.deqPortIdxWrite
1985db4956bSzhanglyGit  }.elsewhen(entryReg.status.issued){
1995db4956bSzhanglyGit    entryUpdate.status.issueTimer := entryReg.status.issueTimer + 1.U
2005db4956bSzhanglyGit    entryUpdate.status.deqPortIdx := entryReg.status.deqPortIdx
2015db4956bSzhanglyGit  }
2025db4956bSzhanglyGit  entryUpdate.status.psrc := entryReg.status.psrc
2035db4956bSzhanglyGit  entryUpdate.status.srcType := entryReg.status.srcType
2045db4956bSzhanglyGit  entryUpdate.status.fuType := entryReg.status.fuType
2055db4956bSzhanglyGit  entryUpdate.status.robIdx := entryReg.status.robIdx
206*2d270511Ssinsanction  entryUpdate.status.uopIdx.foreach(_ := entryReg.status.uopIdx.get)
2075db4956bSzhanglyGit  entryUpdate.status.issued := entryReg.status.issued // otherwise
2085db4956bSzhanglyGit  when(!entryReg.status.srcReady){
2095db4956bSzhanglyGit    entryUpdate.status.issued := false.B
2100f55a0d3SHaojin Tang  }.elsewhen(srcLoadCancelVec.map(_.reduce(_ || _)).getOrElse(false.B)) {
2110f55a0d3SHaojin Tang    entryUpdate.status.issued := false.B
2125db4956bSzhanglyGit  }.elsewhen(io.issueResp.valid) {
2135db4956bSzhanglyGit    when(RSFeedbackType.isStageSuccess(io.issueResp.bits.respType)) {
2145db4956bSzhanglyGit      entryUpdate.status.issued := true.B
2155db4956bSzhanglyGit    }.elsewhen(RSFeedbackType.isBlocked(io.issueResp.bits.respType)) {
2165db4956bSzhanglyGit      entryUpdate.status.issued := false.B
2175db4956bSzhanglyGit    }
2185db4956bSzhanglyGit  }
2195db4956bSzhanglyGit  entryUpdate.status.firstIssue := io.deqSel || entryReg.status.firstIssue
2205db4956bSzhanglyGit  entryUpdate.status.blocked := false.B //todo
2215db4956bSzhanglyGit  //remain imm and payload
2225db4956bSzhanglyGit  entryUpdate.imm := entryReg.imm
2235db4956bSzhanglyGit  entryUpdate.payload := entryReg.payload
2245db4956bSzhanglyGit  if(params.needPc) {
2255db4956bSzhanglyGit    entryUpdate.status.pc.get := entryReg.status.pc.get
2265db4956bSzhanglyGit  }
2275db4956bSzhanglyGit
2285db4956bSzhanglyGit  //output
2295db4956bSzhanglyGit  io.transEntry.valid := validReg && io.transSel && !flushed && !deqSuccess
2305db4956bSzhanglyGit  io.transEntry.bits := entryUpdate
2315db4956bSzhanglyGit  io.canIssue := entryReg.status.canIssue && validReg
2325db4956bSzhanglyGit  io.clear := clear
2335db4956bSzhanglyGit  io.fuType := entryReg.status.fuType
2345db4956bSzhanglyGit  io.dataSource := entryReg.status.dataSources
2355db4956bSzhanglyGit  io.srcWakeUpL1ExuOH.foreach(_ := entryReg.status.srcWakeUpL1ExuOH.get)
2365db4956bSzhanglyGit  io.srcTimer.foreach(_ := entryReg.status.srcTimer.get)
2375db4956bSzhanglyGit  io.valid := validReg
2385db4956bSzhanglyGit  io.isFirstIssue := !entryReg.status.firstIssue
2395db4956bSzhanglyGit  io.entry.valid := validReg
2405db4956bSzhanglyGit  io.entry.bits := entryReg
2415db4956bSzhanglyGit  io.robIdx := entryReg.status.robIdx
242*2d270511Ssinsanction  io.uopIdx.foreach(_ := entryReg.status.uopIdx.get)
2435db4956bSzhanglyGit  io.issueTimerRead := Mux(io.deqSel, 0.U, entryReg.status.issueTimer)
2445db4956bSzhanglyGit  io.deqPortIdxRead := Mux(io.deqSel, io.deqPortIdxWrite, entryReg.status.deqPortIdx)
24589740385Ssinsanction  io.cancel.foreach(_ := cancelVec.get.asUInt.orR)
2465db4956bSzhanglyGit}
2475db4956bSzhanglyGit
2485db4956bSzhanglyGitclass EnqEntryMem()(implicit p: Parameters, params: IssueBlockParams) extends EnqEntry
2495db4956bSzhanglyGit  with HasCircularQueuePtrHelper {
2505db4956bSzhanglyGit  val fromMem = io.fromMem.get
2515db4956bSzhanglyGit
2525db4956bSzhanglyGit  val memStatus = entryReg.status.mem.get
2535db4956bSzhanglyGit  println("memStatus" + memStatus)
2545db4956bSzhanglyGit  val memStatusNext = entryRegNext.status.mem.get
2555db4956bSzhanglyGit  val memStatusUpdate = entryUpdate.status.mem.get
2565db4956bSzhanglyGit
2575db4956bSzhanglyGit  // load cannot be issued before older store, unless meet some condition
2585db4956bSzhanglyGit  val blockedByOlderStore = isAfter(memStatusNext.sqIdx, fromMem.stIssuePtr)
2595db4956bSzhanglyGit
2605db4956bSzhanglyGit  val deqFailedForStdInvalid = io.issueResp.valid && io.issueResp.bits.respType === RSFeedbackType.dataInvalid
2615db4956bSzhanglyGit
2625db4956bSzhanglyGit  val staWaitedReleased = Cat(
26306083203SHaojin Tang    fromMem.memWaitUpdateReq.robIdx.map(x => x.valid && x.bits.value === memStatusNext.waitForRobIdx.value)
2645db4956bSzhanglyGit  ).orR
2655db4956bSzhanglyGit  val stdWaitedReleased = Cat(
26606083203SHaojin Tang    fromMem.memWaitUpdateReq.sqIdx.map(x => x.valid && x.bits.value === memStatusNext.waitForSqIdx.value)
2675db4956bSzhanglyGit  ).orR
2685db4956bSzhanglyGit  val olderStaNotViolate = staWaitedReleased && !memStatusNext.strictWait
2695db4956bSzhanglyGit  val olderStdReady = stdWaitedReleased && memStatusNext.waitForStd
2705db4956bSzhanglyGit  val waitStd = !olderStdReady
2715db4956bSzhanglyGit  val waitSta = !olderStaNotViolate
2725db4956bSzhanglyGit
2735db4956bSzhanglyGit  when (io.enq.valid && enqReady) {
2745db4956bSzhanglyGit    memStatusNext.waitForSqIdx := io.enq.bits.status.mem.get.waitForSqIdx
2755db4956bSzhanglyGit    // update by lfst at dispatch stage
2765db4956bSzhanglyGit    memStatusNext.waitForRobIdx := io.enq.bits.status.mem.get.waitForRobIdx
2775db4956bSzhanglyGit    // new load inst don't known if it is blocked by store data ahead of it
2785db4956bSzhanglyGit    memStatusNext.waitForStd := false.B
2795db4956bSzhanglyGit    // update by ssit at rename stage
2805db4956bSzhanglyGit    memStatusNext.strictWait := io.enq.bits.status.mem.get.strictWait
2815db4956bSzhanglyGit    memStatusNext.sqIdx := io.enq.bits.status.mem.get.sqIdx
2825db4956bSzhanglyGit  }.otherwise {
2835db4956bSzhanglyGit    memStatusNext := memStatusUpdate
2845db4956bSzhanglyGit  }
2855db4956bSzhanglyGit
2865db4956bSzhanglyGit  when(deqFailedForStdInvalid) {
2875db4956bSzhanglyGit    memStatusUpdate.waitForSqIdx := io.issueResp.bits.dataInvalidSqIdx
2885db4956bSzhanglyGit    memStatusUpdate.waitForRobIdx := memStatus.waitForRobIdx
2895db4956bSzhanglyGit    memStatusUpdate.waitForStd := true.B
2905db4956bSzhanglyGit    memStatusUpdate.strictWait := memStatus.strictWait
2915db4956bSzhanglyGit    memStatusUpdate.sqIdx := memStatus.sqIdx
2925db4956bSzhanglyGit  }.otherwise {
2935db4956bSzhanglyGit    memStatusUpdate := memStatus
2945db4956bSzhanglyGit  }
2955db4956bSzhanglyGit
2965db4956bSzhanglyGit  val shouldBlock = Mux(io.enq.valid && enqReady, io.enq.bits.status.blocked, entryReg.status.blocked)
2975db4956bSzhanglyGit  val blockNotReleased = waitStd || waitSta
2985db4956bSzhanglyGit  val respBlock = deqFailedForStdInvalid
2995db4956bSzhanglyGit  entryRegNext.status.blocked := shouldBlock && blockNotReleased && blockedByOlderStore || respBlock
3005db4956bSzhanglyGit  entryUpdate.status.blocked := shouldBlock && blockNotReleased && blockedByOlderStore || respBlock
3015db4956bSzhanglyGit
3025db4956bSzhanglyGit}
3035db4956bSzhanglyGit
304*2d270511Ssinsanctionclass EnqEntryVecMemAddr()(implicit p: Parameters, params: IssueBlockParams) extends EnqEntryMem {
305*2d270511Ssinsanction
306*2d270511Ssinsanction  require(params.isVecMemAddrIQ, "EnqEntryVecMemAddr can only be instance of VecMemAddr IQ")
307*2d270511Ssinsanction
308*2d270511Ssinsanction  val vecMemStatus = entryReg.status.vecMem.get
309*2d270511Ssinsanction  val vecMemStatusNext = entryRegNext.status.vecMem.get
310*2d270511Ssinsanction  val vecMemStatusUpdate = entryUpdate.status.vecMem.get
311*2d270511Ssinsanction  val fromLsq = io.fromLsq.get
312*2d270511Ssinsanction
313*2d270511Ssinsanction  when (io.enq.valid && enqReady) {
314*2d270511Ssinsanction    vecMemStatusNext.sqIdx := io.enq.bits.status.vecMem.get.sqIdx
315*2d270511Ssinsanction    vecMemStatusNext.lqIdx := io.enq.bits.status.vecMem.get.lqIdx
316*2d270511Ssinsanction  }.otherwise {
317*2d270511Ssinsanction    vecMemStatusNext := vecMemStatusUpdate
318*2d270511Ssinsanction  }
319*2d270511Ssinsanction  vecMemStatusUpdate := vecMemStatus
320*2d270511Ssinsanction
321*2d270511Ssinsanction  val isLsqHead = {
322*2d270511Ssinsanction    if (params.isVecLdAddrIQ)
323*2d270511Ssinsanction      entryRegNext.status.vecMem.get.lqIdx.value === fromLsq.lqDeqPtr.value
324*2d270511Ssinsanction    else
325*2d270511Ssinsanction      entryRegNext.status.vecMem.get.sqIdx.value === fromLsq.sqDeqPtr.value
326*2d270511Ssinsanction  }
327*2d270511Ssinsanction
328*2d270511Ssinsanction  entryRegNext.status.blocked := shouldBlock && blockNotReleased && blockedByOlderStore || respBlock || !isLsqHead
329*2d270511Ssinsanction  entryUpdate.status.blocked := shouldBlock && blockNotReleased && blockedByOlderStore || respBlock || !isLsqHead
330*2d270511Ssinsanction}
331*2d270511Ssinsanction
332*2d270511Ssinsanctionclass EnqEntryVecMemData()(implicit p: Parameters, params: IssueBlockParams) extends EnqEntry
333*2d270511Ssinsanction  with HasCircularQueuePtrHelper {
334*2d270511Ssinsanction
335*2d270511Ssinsanction  require(params.isVecStDataIQ, "EnqEntryVecMemData can only be instance of VecMemData IQ")
336*2d270511Ssinsanction
337*2d270511Ssinsanction  val vecMemStatus = entryReg.status.vecMem.get
338*2d270511Ssinsanction  val vecMemStatusNext = entryRegNext.status.vecMem.get
339*2d270511Ssinsanction  val vecMemStatusUpdate = entryUpdate.status.vecMem.get
340*2d270511Ssinsanction  val fromLsq = io.fromLsq.get
341*2d270511Ssinsanction
342*2d270511Ssinsanction  when (io.enq.valid && enqReady) {
343*2d270511Ssinsanction    vecMemStatusNext.sqIdx := io.enq.bits.status.vecMem.get.sqIdx
344*2d270511Ssinsanction    vecMemStatusNext.lqIdx := io.enq.bits.status.vecMem.get.lqIdx
345*2d270511Ssinsanction  }.otherwise {
346*2d270511Ssinsanction    vecMemStatusNext := vecMemStatusUpdate
347*2d270511Ssinsanction  }
348*2d270511Ssinsanction  vecMemStatusUpdate := vecMemStatus
349*2d270511Ssinsanction
350*2d270511Ssinsanction  val isLsqHead = entryRegNext.status.vecMem.get.sqIdx.value === fromLsq.sqDeqPtr.value
351*2d270511Ssinsanction
352*2d270511Ssinsanction  entryRegNext.status.blocked := !isLsqHead
353*2d270511Ssinsanction  entryUpdate.status.blocked := !isLsqHead
354*2d270511Ssinsanction}
355*2d270511Ssinsanction
3565db4956bSzhanglyGitobject EnqEntry {
3575db4956bSzhanglyGit  def apply(implicit p: Parameters, iqParams: IssueBlockParams): EnqEntry = {
3585db4956bSzhanglyGit    iqParams.schdType match {
3595db4956bSzhanglyGit      case IntScheduler() => new EnqEntry()
3605db4956bSzhanglyGit      case MemScheduler() =>
361*2d270511Ssinsanction        if (iqParams.isLdAddrIQ || iqParams.isStAddrIQ) new EnqEntryMem()
362*2d270511Ssinsanction        else if (iqParams.isVecMemAddrIQ) new EnqEntryVecMemAddr()
363*2d270511Ssinsanction        else if (iqParams.isVecStDataIQ) new EnqEntryVecMemData()
3645db4956bSzhanglyGit        else new EnqEntry()
3655db4956bSzhanglyGit      case VfScheduler() => new EnqEntry()
3665db4956bSzhanglyGit      case _ => null
3675db4956bSzhanglyGit    }
3685db4956bSzhanglyGit  }
3695db4956bSzhanglyGit}