1package xiangshan.backend.fu.wrapper 2 3import chipsalliance.rocketchip.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import utils.XSError 7import xiangshan.backend.fu.FuConfig 8import xiangshan.backend.fu.vector.Bundles.VSew 9import xiangshan.backend.fu.vector.utils.VecDataSplitModule 10import xiangshan.backend.fu.vector.{Mgu, VecPipedFuncUnit} 11import yunsuan.VfpuType 12import yunsuan.VfmaType 13import yunsuan.vector.VectorFloatFMA 14 15class VFMA(cfg: FuConfig)(implicit p: Parameters) extends VecPipedFuncUnit(cfg) { 16 XSError(io.in.valid && io.in.bits.ctrl.fuOpType === VfpuType.dummy, "Vfalu OpType not supported") 17 18 // params alias 19 private val dataWidth = cfg.dataBits 20 private val dataWidthOfDataModule = 64 21 private val numVecModule = dataWidth / dataWidthOfDataModule 22 23 // io alias 24 private val opcode = fuOpType(3,0) 25 private val resWiden = fuOpType(4) 26 27 // modules 28 private val vfmas = Seq.fill(numVecModule)(Module(new VectorFloatFMA)) 29 private val vs2Split = Module(new VecDataSplitModule(dataWidth, dataWidthOfDataModule)) 30 private val vs1Split = Module(new VecDataSplitModule(dataWidth, dataWidthOfDataModule)) 31 private val oldVdSplit = Module(new VecDataSplitModule(dataWidth, dataWidthOfDataModule)) 32 private val mgu = Module(new Mgu(dataWidth)) 33 34 /** 35 * In connection of [[vs2Split]], [[vs1Split]] and [[oldVdSplit]] 36 */ 37 vs2Split.io.inVecData := vs2 38 vs1Split.io.inVecData := vs1 39 oldVdSplit.io.inVecData := oldVd 40 41 /** 42 * [[vfmas]]'s in connection 43 */ 44 // Vec(vs2(31,0), vs2(63,32), vs2(95,64), vs2(127,96)) ==> 45 // Vec( 46 // Cat(vs2(95,64), vs2(31,0)), 47 // Cat(vs2(127,96), vs2(63,32)), 48 // ) 49 private val vs2GroupedVec: Vec[UInt] = VecInit(vs2Split.io.outVec32b.zipWithIndex.groupBy(_._2 % 2).map(x => x._1 -> x._2.map(_._1)).values.map(x => Cat(x.reverse)).toSeq) 50 private val vs1GroupedVec: Vec[UInt] = VecInit(vs1Split.io.outVec32b.zipWithIndex.groupBy(_._2 % 2).map(x => x._1 -> x._2.map(_._1)).values.map(x => Cat(x.reverse)).toSeq) 51 private val resultData = Wire(Vec(numVecModule, UInt(dataWidthOfDataModule.W))) 52 private val fflagsData = Wire(Vec(numVecModule, UInt(20.W))) 53 val fp_aIsFpCanonicalNAN = Wire(Vec(numVecModule, Bool())) 54 val fp_bIsFpCanonicalNAN = Wire(Vec(numVecModule, Bool())) 55 val fp_cIsFpCanonicalNAN = Wire(Vec(numVecModule, Bool())) 56 vfmas.zipWithIndex.foreach { 57 case (mod, i) => 58 mod.io.fp_a := vs2Split.io.outVec64b(i) 59 mod.io.fp_b := vs1Split.io.outVec64b(i) 60 mod.io.fp_c := oldVdSplit.io.outVec64b(i) 61 mod.io.widen_a := Cat(vs2Split.io.outVec32b(i+numVecModule), vs2Split.io.outVec32b(i)) 62 mod.io.widen_b := Cat(vs1Split.io.outVec32b(i+numVecModule), vs1Split.io.outVec32b(i)) 63 mod.io.frs1 := 0.U // already vf -> vv 64 mod.io.is_frs1 := false.B // already vf -> vv 65 mod.io.uop_idx := vuopIdx(0) 66 mod.io.is_vec := true.B // Todo 67 mod.io.round_mode := frm 68 mod.io.fp_format := Mux(resWiden, vsew + 1.U, vsew) 69 mod.io.res_widening := resWiden 70 mod.io.op_code := opcode 71 resultData(i) := mod.io.fp_result 72 fflagsData(i) := mod.io.fflags 73 fp_aIsFpCanonicalNAN(i) := vecCtrl.fpu.isFpToVecInst & ( 74 ((vsew === VSew.e32) & (!vs2Split.io.outVec64b(i).head(32).andR)) | 75 ((vsew === VSew.e16) & (!vs2Split.io.outVec64b(i).head(48).andR)) 76 ) 77 fp_bIsFpCanonicalNAN(i) := vecCtrl.fpu.isFpToVecInst & ( 78 ((vsew === VSew.e32) & (!vs1Split.io.outVec64b(i).head(32).andR)) | 79 ((vsew === VSew.e16) & (!vs1Split.io.outVec64b(i).head(48).andR)) 80 ) 81 fp_cIsFpCanonicalNAN(i) := !(opcode === VfmaType.vfmul) & vecCtrl.fpu.isFpToVecInst & ( 82 ((vsew === VSew.e32) & (!oldVdSplit.io.outVec64b(i).head(32).andR)) | 83 ((vsew === VSew.e16) & (!oldVdSplit.io.outVec64b(i).head(48).andR)) 84 ) 85 mod.io.fp_aIsFpCanonicalNAN := fp_aIsFpCanonicalNAN(i) 86 mod.io.fp_bIsFpCanonicalNAN := fp_bIsFpCanonicalNAN(i) 87 mod.io.fp_cIsFpCanonicalNAN := fp_cIsFpCanonicalNAN(i) 88 } 89 90 val outEew = Mux(RegNext(resWiden), outVecCtrl.vsew + 1.U, outVecCtrl.vsew) 91 val outVuopidx = outVecCtrl.vuopIdx(2, 0) 92 val vlMax = ((VLEN / 8).U >> outEew).asUInt 93 val lmulAbs = Mux(outVecCtrl.vlmul(2), (~outVecCtrl.vlmul(1, 0)).asUInt + 1.U, outVecCtrl.vlmul(1, 0)) 94 val outVlFix = Mux(outVecCtrl.fpu.isFpToVecInst, 1.U, outVl) 95 val vlMaxAllUop = Wire(outVl.cloneType) 96 vlMaxAllUop := Mux(outVecCtrl.vlmul(2), vlMax >> lmulAbs, vlMax << lmulAbs).asUInt 97 val vlMaxThisUop = Mux(outVecCtrl.vlmul(2), vlMax >> lmulAbs, vlMax).asUInt 98 val vlSetThisUop = Mux(outVlFix > outVuopidx * vlMaxThisUop, outVlFix - outVuopidx * vlMaxThisUop, 0.U) 99 val vlThisUop = Wire(UInt(3.W)) 100 vlThisUop := Mux(vlSetThisUop < vlMaxThisUop, vlSetThisUop, vlMaxThisUop) 101 val vlMaskRShift = Wire(UInt((4 * numVecModule).W)) 102 vlMaskRShift := Fill(4 * numVecModule, 1.U(1.W)) >> ((4 * numVecModule).U - vlThisUop) 103 104 private val needNoMask = outVecCtrl.fpu.isFpToVecInst 105 val maskToMgu = Mux(needNoMask, allMaskTrue, outSrcMask) 106 val allFFlagsEn = Wire(Vec(4 * numVecModule, Bool())) 107 val outSrcMaskRShift = Wire(UInt((4 * numVecModule).W)) 108 outSrcMaskRShift := (maskToMgu >> (outVecCtrl.vuopIdx(2, 0) * vlMax))(4 * numVecModule - 1, 0) 109 val f16FFlagsEn = outSrcMaskRShift 110 val f32FFlagsEn = Wire(Vec(numVecModule, UInt(4.W))) 111 for (i <- 0 until numVecModule) { 112 f32FFlagsEn(i) := Cat(Fill(2, 1.U), outSrcMaskRShift(2 * i + 1, 2 * i)) 113 } 114 val f64FFlagsEn = Wire(Vec(numVecModule, UInt(4.W))) 115 for (i <- 0 until numVecModule) { 116 f64FFlagsEn(i) := Cat(Fill(3, 1.U), outSrcMaskRShift(i)) 117 } 118 val fflagsEn = Mux1H( 119 Seq( 120 (outEew === 1.U) -> f16FFlagsEn.asUInt, 121 (outEew === 2.U) -> f32FFlagsEn.asUInt, 122 (outEew === 3.U) -> f64FFlagsEn.asUInt 123 ) 124 ) 125 allFFlagsEn := (fflagsEn & vlMaskRShift).asTypeOf(allFFlagsEn) 126 127 val allFFlags = fflagsData.asTypeOf(Vec(4 * numVecModule, UInt(5.W))) 128 val outFFlags = allFFlagsEn.zip(allFFlags).map { 129 case (en, fflags) => Mux(en, fflags, 0.U(5.W)) 130 }.reduce(_ | _) 131 io.out.bits.res.fflags.get := outFFlags 132 133 val resultDataUInt = resultData.asUInt 134 mgu.io.in.vd := resultDataUInt 135 mgu.io.in.oldVd := outOldVd 136 mgu.io.in.mask := maskToMgu 137 mgu.io.in.info.ta := outVecCtrl.vta 138 mgu.io.in.info.ma := outVecCtrl.vma 139 mgu.io.in.info.vl := Mux(outVecCtrl.fpu.isFpToVecInst, 1.U, outVl) 140 mgu.io.in.info.vlmul := outVecCtrl.vlmul 141 mgu.io.in.info.valid := io.out.valid 142 mgu.io.in.info.vstart := Mux(outVecCtrl.fpu.isFpToVecInst, 0.U, outVecCtrl.vstart) 143 mgu.io.in.info.eew := outEew 144 mgu.io.in.info.vsew := outVecCtrl.vsew 145 mgu.io.in.info.vdIdx := outVecCtrl.vuopIdx 146 mgu.io.in.info.narrow := outVecCtrl.isNarrow 147 mgu.io.in.info.dstMask := outVecCtrl.isDstMask 148 io.out.bits.res.data := mgu.io.out.vd 149 150} 151