1package xiangshan.backend.fu.util 2 3import chisel3._ 4import chisel3.util._ 5 6abstract class CarrySaveAdderMToN(m: Int, n: Int)(len: Int) extends Module{ 7 val io = IO(new Bundle() { 8 val in = Input(Vec(m, UInt(len.W))) 9 val out = Output(Vec(n, UInt(len.W))) 10 }) 11} 12 13class CSA2_2(len: Int) extends CarrySaveAdderMToN(2, 2)(len) { 14 val temp = Wire(Vec(len, UInt(2.W))) 15 for((t, i) <- temp.zipWithIndex){ 16 val (a, b) = (io.in(0)(i), io.in(1)(i)) 17 val sum = a ^ b 18 val cout = a & b 19 t := Cat(cout, sum) 20 } 21 io.out.zipWithIndex.foreach({case(x, i) => x := Cat(temp.reverse map(_(i)))}) 22} 23 24class CSA3_2(len: Int) extends CarrySaveAdderMToN(3, 2)(len){ 25 val temp = Wire(Vec(len, UInt(2.W))) 26 for((t, i) <- temp.zipWithIndex){ 27 val (a, b, cin) = (io.in(0)(i), io.in(1)(i), io.in(2)(i)) 28 val a_xor_b = a ^ b 29 val a_and_b = a & b 30 val sum = a_xor_b ^ cin 31 val cout = a_and_b | (a_xor_b & cin) 32 t := Cat(cout, sum) 33 } 34 io.out.zipWithIndex.foreach({case(x, i) => x := Cat(temp.reverse map(_(i)))}) 35} 36 37class CSA5_3(len: Int)extends CarrySaveAdderMToN(5, 3)(len){ 38 val FAs = Array.fill(2)(Module(new CSA3_2(len))) 39 FAs(0).io.in := io.in.take(3) 40 FAs(1).io.in := VecInit(FAs(0).io.out(0), io.in(3), io.in(4)) 41 io.out := VecInit(FAs(1).io.out(0), FAs(0).io.out(1), FAs(1).io.out(1)) 42} 43 44class C22 extends CSA2_2(1) 45class C32 extends CSA3_2(1) 46class C53 extends CSA5_3(1) 47 48