xref: /XiangShan/src/main/scala/xiangshan/backend/fu/Fence.scala (revision 0e1ce320e1e3201a6899aba09a8b2273922652d3)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.backend.fu
18
19import chipsalliance.rocketchip.config.Parameters
20import chisel3._
21import chisel3.util._
22import xiangshan._
23import utils._
24
25class FenceToSbuffer extends Bundle {
26  val flushSb = Output(Bool())
27  val sbIsEmpty = Input(Bool())
28}
29
30class Fence(implicit p: Parameters) extends FunctionUnit with HasExceptionNO {
31
32  val sfence = IO(Output(new SfenceBundle))
33  val fencei = IO(Output(Bool()))
34  val toSbuffer = IO(new FenceToSbuffer)
35  val disableSfence = IO(Input(Bool()))
36
37  val (valid, src1) = (
38    io.in.valid,
39    io.in.bits.src(0)
40  )
41
42  val s_idle :: s_wait :: s_tlb :: s_icache :: s_fence :: s_nofence :: Nil = Enum(6)
43
44  val state = RegInit(s_idle)
45  /* fsm
46   * s_idle    : init state, send sbflush
47   * s_wait  : send sbflush, wait for sbEmpty
48   * s_tlb   : flush tlb, just hold one cycle
49   * s_icache: flush icache, just hold one cycle
50   * s_fence : do nothing, for timing optimiaztion
51   * s_nofence: do nothing , for Svinval extension
52   */
53
54  val sbuffer = toSbuffer.flushSb
55  val sbEmpty = toSbuffer.sbIsEmpty
56  val uop = RegEnable(io.in.bits.uop, io.in.fire())
57  val func = uop.ctrl.fuOpType
58
59  // NOTE: icache & tlb & sbuffer must receive flush signal at any time
60  sbuffer      := state === s_wait && !(func === FenceOpType.sfence && disableSfence)
61  fencei       := state === s_icache
62  sfence.valid := state === s_tlb && !disableSfence
63  sfence.bits.rs1  := uop.ctrl.imm(4, 0) === 0.U
64  sfence.bits.rs2  := uop.ctrl.imm(9, 5) === 0.U
65  XSError(sfence.valid && uop.ctrl.lsrc(0) =/= uop.ctrl.imm(4, 0), "lsrc0 is passed by imm\n")
66  XSError(sfence.valid && uop.ctrl.lsrc(1) =/= uop.ctrl.imm(9, 5), "lsrc1 is passed by imm\n")
67  sfence.bits.addr := RegEnable(io.in.bits.src(0), io.in.fire())
68  sfence.bits.asid := RegEnable(io.in.bits.src(1), io.in.fire())
69
70  when (state === s_idle && io.in.valid) { state := s_wait }
71  when (state === s_wait && func === FenceOpType.fencei && sbEmpty) { state := s_icache }
72  when (state === s_wait && func === FenceOpType.sfence && (sbEmpty || disableSfence)) { state := s_tlb }
73  when (state === s_wait && func === FenceOpType.fence  && sbEmpty) { state := s_fence }
74  when (state === s_wait && func === FenceOpType.nofence  && sbEmpty) { state := s_nofence }
75  when (state =/= s_idle && state =/= s_wait) { state := s_idle }
76
77  io.in.ready := state === s_idle
78  io.out.valid := state =/= s_idle && state =/= s_wait
79  io.out.bits.data := DontCare
80  io.out.bits.uop := uop
81  io.out.bits.uop.cf.exceptionVec(illegalInstr) := func === FenceOpType.sfence && disableSfence
82
83  XSDebug(io.in.valid, p"In(${io.in.valid} ${io.in.ready}) state:${state} Inpc:0x${Hexadecimal(io.in.bits.uop.cf.pc)} InrobIdx:${io.in.bits.uop.robIdx}\n")
84  XSDebug(state =/= s_idle, p"state:${state} sbuffer(flush:${sbuffer} empty:${sbEmpty}) fencei:${fencei} sfence:${sfence}\n")
85  XSDebug(io.out.valid, p" Out(${io.out.valid} ${io.out.ready}) state:${state} Outpc:0x${Hexadecimal(io.out.bits.uop.cf.pc)} OutrobIdx:${io.out.bits.uop.robIdx}\n")
86
87  assert(!(io.out.valid && io.out.bits.uop.ctrl.rfWen))
88  assert(!io.out.valid || io.out.ready, "when fence is out valid, out ready should always be true")
89}
90