xref: /XiangShan/src/main/scala/xiangshan/backend/fu/CSR.scala (revision 68de2c3d93763015ac0793019cd4f8dba6f3bbad)
1/***************************************************************************************
2* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
3* Copyright (c) 2020-2021 Peng Cheng Laboratory
4*
5* XiangShan is licensed under Mulan PSL v2.
6* You can use this software according to the terms and conditions of the Mulan PSL v2.
7* You may obtain a copy of Mulan PSL v2 at:
8*          http://license.coscl.org.cn/MulanPSL2
9*
10* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
11* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
12* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
13*
14* See the Mulan PSL v2 for more details.
15***************************************************************************************/
16
17package xiangshan.backend.fu
18
19import org.chipsalliance.cde.config.Parameters
20import chisel3._
21import chisel3.util._
22import difftest._
23import freechips.rocketchip.util._
24import utility.MaskedRegMap.WritableMask
25import utils._
26import utility._
27import xiangshan.ExceptionNO._
28import xiangshan._
29import xiangshan.backend.fu.util._
30import xiangshan.cache._
31
32// Trigger Tdata1 bundles
33trait HasTriggerConst {
34  def I_Trigger = 0.U
35  def S_Trigger = 1.U
36  def L_Trigger = 2.U
37  def GenESL(triggerType: UInt) = Cat((triggerType === I_Trigger), (triggerType === S_Trigger), (triggerType === L_Trigger))
38}
39
40class TdataBundle extends Bundle {
41  val ttype = UInt(4.W)
42  val dmode = Bool()
43  val maskmax = UInt(6.W)
44  val zero1 = UInt(30.W)
45  val sizehi = UInt(2.W)
46  val hit = Bool()
47  val select = Bool()
48  val timing = Bool()
49  val sizelo = UInt(2.W)
50  val action = UInt(4.W)
51  val chain = Bool()
52  val matchType = UInt(4.W)
53  val m = Bool()
54  val zero2 = Bool()
55  val s = Bool()
56  val u = Bool()
57  val execute = Bool()
58  val store = Bool()
59  val load = Bool()
60}
61
62class FpuCsrIO extends Bundle {
63  val fflags = Output(Valid(UInt(5.W)))
64  val isIllegal = Output(Bool())
65  val dirty_fs = Output(Bool())
66  val frm = Input(UInt(3.W))
67}
68
69
70class PerfCounterIO(implicit p: Parameters) extends XSBundle {
71  val perfEventsFrontend  = Vec(numCSRPCntFrontend, new PerfEvent)
72  val perfEventsCtrl      = Vec(numCSRPCntCtrl, new PerfEvent)
73  val perfEventsLsu       = Vec(numCSRPCntLsu, new PerfEvent)
74  val perfEventsHc        = Vec(numPCntHc * coreParams.L2NBanks, new PerfEvent)
75  val retiredInstr = UInt(3.W)
76  val frontendInfo = new Bundle {
77    val ibufFull  = Bool()
78    val bpuInfo = new Bundle {
79      val bpRight = UInt(XLEN.W)
80      val bpWrong = UInt(XLEN.W)
81    }
82  }
83  val ctrlInfo = new Bundle {
84    val robFull   = Bool()
85    val intdqFull = Bool()
86    val fpdqFull  = Bool()
87    val lsdqFull  = Bool()
88  }
89  val memInfo = new Bundle {
90    val sqFull = Bool()
91    val lqFull = Bool()
92    val dcacheMSHRFull = Bool()
93  }
94
95  val cacheInfo = new Bundle {
96    val l2MSHRFull = Bool()
97    val l3MSHRFull = Bool()
98    val l2nAcquire = UInt(XLEN.W)
99    val l2nAcquireMiss = UInt(XLEN.W)
100    val l3nAcquire = UInt(XLEN.W)
101    val l3nAcquireMiss = UInt(XLEN.W)
102  }
103}
104
105class CSRFileIO(implicit p: Parameters) extends XSBundle {
106  val hartId = Input(UInt(8.W))
107  // output (for func === CSROpType.jmp)
108  val perf = Input(new PerfCounterIO)
109  val isPerfCnt = Output(Bool())
110  // to FPU
111  val fpu = Flipped(new FpuCsrIO)
112  // from rob
113  val exception = Flipped(ValidIO(new ExceptionInfo))
114  // to ROB
115  val isXRet = Output(Bool())
116  val trapTarget = Output(UInt(VAddrBits.W))
117  val interrupt = Output(Bool())
118  val wfi_event = Output(Bool())
119  // from LSQ
120  val memExceptionVAddr = Input(UInt(VAddrBits.W))
121  // from outside cpu,externalInterrupt
122  val externalInterrupt = new ExternalInterruptIO
123  // TLB
124  val tlb = Output(new TlbCsrBundle)
125  // Debug Mode
126  // val singleStep = Output(Bool())
127  val debugMode = Output(Bool())
128  // to Fence to disable sfence
129  val disableSfence = Output(Bool())
130  // Custom microarchiture ctrl signal
131  val customCtrl = Output(new CustomCSRCtrlIO)
132  // distributed csr write
133  val distributedUpdate = Vec(2, Flipped(new DistributedCSRUpdateReq))
134}
135
136class CSR(implicit p: Parameters) extends FunctionUnit with HasCSRConst with PMPMethod with PMAMethod with HasTriggerConst
137{
138  val csrio = IO(new CSRFileIO)
139
140  val cfIn = io.in.bits.uop.cf
141  val cfOut = Wire(new CtrlFlow)
142  cfOut := cfIn
143  val flushPipe = Wire(Bool())
144
145  val (valid, src1, src2, func) = (
146    io.in.valid,
147    io.in.bits.src(0),
148    io.in.bits.uop.ctrl.imm,
149    io.in.bits.uop.ctrl.fuOpType
150  )
151
152  // CSR define
153
154  class Priv extends Bundle {
155    val m = Output(Bool())
156    val h = Output(Bool())
157    val s = Output(Bool())
158    val u = Output(Bool())
159  }
160
161  val csrNotImplemented = RegInit(UInt(XLEN.W), 0.U)
162
163  class DcsrStruct extends Bundle {
164    val xdebugver = Output(UInt(2.W))
165    val zero4 = Output(UInt(2.W))
166    val zero3 = Output(UInt(12.W))
167    val ebreakm = Output(Bool())
168    val ebreakh = Output(Bool())
169    val ebreaks = Output(Bool())
170    val ebreaku = Output(Bool())
171    val stepie = Output(Bool()) // 0
172    val stopcycle = Output(Bool())
173    val stoptime = Output(Bool())
174    val cause = Output(UInt(3.W))
175    val v = Output(Bool()) // 0
176    val mprven = Output(Bool())
177    val nmip = Output(Bool())
178    val step = Output(Bool())
179    val prv = Output(UInt(2.W))
180  }
181
182  class MstatusStruct extends Bundle {
183    val sd = Output(UInt(1.W))
184
185    val pad1 = if (XLEN == 64) Output(UInt(25.W)) else null
186    val mbe  = if (XLEN == 64) Output(UInt(1.W)) else null
187    val sbe  = if (XLEN == 64) Output(UInt(1.W)) else null
188    val sxl  = if (XLEN == 64) Output(UInt(2.W))  else null
189    val uxl  = if (XLEN == 64) Output(UInt(2.W))  else null
190    val pad0 = if (XLEN == 64) Output(UInt(9.W))  else Output(UInt(8.W))
191
192    val tsr = Output(UInt(1.W))
193    val tw = Output(UInt(1.W))
194    val tvm = Output(UInt(1.W))
195    val mxr = Output(UInt(1.W))
196    val sum = Output(UInt(1.W))
197    val mprv = Output(UInt(1.W))
198    val xs = Output(UInt(2.W))
199    val fs = Output(UInt(2.W))
200    val mpp = Output(UInt(2.W))
201    val hpp = Output(UInt(2.W))
202    val spp = Output(UInt(1.W))
203    val pie = new Priv
204    val ie = new Priv
205    assert(this.getWidth == XLEN)
206
207    def ube = pie.h // a little ugly
208    def ube_(r: UInt): Unit = {
209      pie.h := r(0)
210    }
211  }
212
213  class Interrupt extends Bundle {
214//  val d = Output(Bool())    // Debug
215    val e = new Priv
216    val t = new Priv
217    val s = new Priv
218  }
219
220  // Debug CSRs
221  val dcsr = RegInit(UInt(32.W), 0x4000b000.U)
222  val dpc = Reg(UInt(64.W))
223  val dscratch = Reg(UInt(64.W))
224  val dscratch1 = Reg(UInt(64.W))
225  val debugMode = RegInit(false.B)
226  val debugIntrEnable = RegInit(true.B)
227  csrio.debugMode := debugMode
228
229  val dpcPrev = RegNext(dpc)
230  XSDebug(dpcPrev =/= dpc, "Debug Mode: dpc is altered! Current is %x, previous is %x\n", dpc, dpcPrev)
231
232  // dcsr value table
233  // | debugver | 0100
234  // | zero     | 10 bits of 0
235  // | ebreakvs | 0
236  // | ebreakvu | 0
237  // | ebreakm  | 1 if ebreak enters debug
238  // | zero     | 0
239  // | ebreaks  |
240  // | ebreaku  |
241  // | stepie   | disable interrupts in singlestep
242  // | stopcount| stop counter, 0
243  // | stoptime | stop time, 0
244  // | cause    | 3 bits read only
245  // | v        | 0
246  // | mprven   | 1
247  // | nmip     | read only
248  // | step     |
249  // | prv      | 2 bits
250
251  val dcsrData = Wire(new DcsrStruct)
252  dcsrData := dcsr.asTypeOf(new DcsrStruct)
253  val dcsrMask = ZeroExt(GenMask(15) | GenMask(13, 11) | GenMask(4) | GenMask(2, 0), XLEN)// Dcsr write mask
254  def dcsrUpdateSideEffect(dcsr: UInt): UInt = {
255    val dcsrOld = WireInit(dcsr.asTypeOf(new DcsrStruct))
256    val dcsrNew = dcsr | (dcsrOld.prv(0) | dcsrOld.prv(1)).asUInt // turn 10 priv into 11
257    dcsrNew
258  }
259  // csrio.singleStep := dcsrData.step
260  csrio.customCtrl.singlestep := dcsrData.step && !debugMode
261
262  // Trigger CSRs
263
264  val type_config = Array(
265    0.U -> I_Trigger, 1.U -> I_Trigger,
266    2.U -> S_Trigger, 3.U -> S_Trigger,
267    4.U -> L_Trigger, 5.U -> L_Trigger, // No.5 Load Trigger
268    6.U -> I_Trigger, 7.U -> S_Trigger,
269    8.U -> I_Trigger, 9.U -> L_Trigger
270  )
271  def TypeLookup(select: UInt) = MuxLookup(select, I_Trigger, type_config)
272
273  val tdata1Phy = RegInit(VecInit(List.fill(10) {(2L << 60L).U(64.W)})) // init ttype 2
274  val tdata2Phy = Reg(Vec(10, UInt(64.W)))
275  val tselectPhy = RegInit(0.U(4.W))
276  val tinfo = RegInit(2.U(64.W))
277  val tControlPhy = RegInit(0.U(64.W))
278  val triggerAction = RegInit(false.B)
279
280  def ReadTdata1(rdata: UInt) = rdata | Cat(triggerAction, 0.U(12.W)) // fix action
281  def WriteTdata1(wdata: UInt): UInt = {
282    val tdata1 = WireInit(tdata1Phy(tselectPhy).asTypeOf(new TdataBundle))
283    val wdata_wire = WireInit(wdata.asTypeOf(new TdataBundle))
284    val tdata1_new = WireInit(wdata.asTypeOf(new TdataBundle))
285    XSDebug(src2(11, 0) === Tdata1.U && valid && func =/= CSROpType.jmp, p"Debug Mode: tdata1(${tselectPhy})is written, the actual value is ${wdata}\n")
286//    tdata1_new.hit := wdata(20)
287    tdata1_new.ttype := tdata1.ttype
288    tdata1_new.dmode := 0.U // Mux(debugMode, wdata_wire.dmode, tdata1.dmode)
289    tdata1_new.maskmax := 0.U
290    tdata1_new.hit := 0.U
291    tdata1_new.select := (TypeLookup(tselectPhy) === I_Trigger) && wdata_wire.select
292    when(wdata_wire.action <= 1.U){
293      triggerAction := tdata1_new.action(0)
294    } .otherwise{
295      tdata1_new.action := tdata1.action
296    }
297    tdata1_new.timing := false.B // hardwire this because we have singlestep
298    tdata1_new.zero1 := 0.U
299    tdata1_new.zero2 := 0.U
300    tdata1_new.chain := !tselectPhy(0) && wdata_wire.chain
301    when(wdata_wire.matchType =/= 0.U && wdata_wire.matchType =/= 2.U && wdata_wire.matchType =/= 3.U) {
302      tdata1_new.matchType := tdata1.matchType
303    }
304    tdata1_new.sizehi := Mux(wdata_wire.select && TypeLookup(tselectPhy) === I_Trigger, 0.U, 1.U)
305    tdata1_new.sizelo:= Mux(wdata_wire.select && TypeLookup(tselectPhy) === I_Trigger, 3.U, 1.U)
306    tdata1_new.execute := TypeLookup(tselectPhy) === I_Trigger
307    tdata1_new.store := TypeLookup(tselectPhy) === S_Trigger
308    tdata1_new.load := TypeLookup(tselectPhy) === L_Trigger
309    tdata1_new.asUInt
310  }
311
312  def WriteTselect(wdata: UInt) = {
313    Mux(wdata < 10.U, wdata(3, 0), tselectPhy)
314  }
315
316  val tcontrolWriteMask = ZeroExt(GenMask(3) | GenMask(7), XLEN)
317
318
319  def GenTdataDistribute(tdata1: TdataBundle, tdata2: UInt): MatchTriggerIO = {
320    val res = Wire(new MatchTriggerIO)
321    res.matchType := tdata1.matchType
322    res.select := tdata1.select
323    res.timing := tdata1.timing
324    res.action := triggerAction
325    res.chain := tdata1.chain
326    res.tdata2 := tdata2
327    res
328  }
329
330  csrio.customCtrl.frontend_trigger.t.bits.addr := MuxLookup(tselectPhy, 0.U, Seq(
331    0.U -> 0.U,
332    1.U -> 1.U,
333    6.U -> 2.U,
334    8.U -> 3.U
335  ))
336  csrio.customCtrl.mem_trigger.t.bits.addr := MuxLookup(tselectPhy, 0.U, Seq(
337    2.U -> 0.U,
338    3.U -> 1.U,
339    4.U -> 2.U,
340    5.U -> 3.U,
341    7.U -> 4.U,
342    9.U -> 5.U
343  ))
344  csrio.customCtrl.frontend_trigger.t.bits.tdata := GenTdataDistribute(tdata1Phy(tselectPhy).asTypeOf(new TdataBundle), tdata2Phy(tselectPhy))
345  csrio.customCtrl.mem_trigger.t.bits.tdata := GenTdataDistribute(tdata1Phy(tselectPhy).asTypeOf(new TdataBundle), tdata2Phy(tselectPhy))
346
347  // Machine-Level CSRs
348  // mtvec: {BASE (WARL), MODE (WARL)} where mode is 0 or 1
349  val mtvecMask = ~(0x2.U(XLEN.W))
350  val mtvec = RegInit(UInt(XLEN.W), 0.U)
351  val mcounteren = RegInit(UInt(XLEN.W), 0.U)
352  val mcause = RegInit(UInt(XLEN.W), 0.U)
353  val mtval = RegInit(UInt(XLEN.W), 0.U)
354  val mepc = Reg(UInt(XLEN.W))
355  // Page 36 in riscv-priv: The low bit of mepc (mepc[0]) is always zero.
356  val mepcMask = ~(0x1.U(XLEN.W))
357
358  val mie = RegInit(0.U(XLEN.W))
359  val mipWire = WireInit(0.U.asTypeOf(new Interrupt))
360  val mipReg  = RegInit(0.U(XLEN.W))
361  val mipFixMask = ZeroExt(GenMask(9) | GenMask(5) | GenMask(1), XLEN)
362  val mip = (mipWire.asUInt | mipReg).asTypeOf(new Interrupt)
363
364  def getMisaMxl(mxl: BigInt): BigInt = mxl << (XLEN - 2)
365  def getMisaExt(ext: Char): Long = 1 << (ext.toInt - 'a'.toInt)
366  var extList = List('a', 's', 'i', 'u')
367  if (HasMExtension) { extList = extList :+ 'm' }
368  if (HasCExtension) { extList = extList :+ 'c' }
369  if (HasFPU) { extList = extList ++ List('f', 'd') }
370  val misaInitVal = getMisaMxl(2) | extList.foldLeft(0L)((sum, i) => sum | getMisaExt(i)) //"h8000000000141105".U
371  val misa = RegInit(UInt(XLEN.W), misaInitVal.U)
372
373  // MXL = 2          | 0 | EXT = b 00 0000 0100 0001 0001 0000 0101
374  // (XLEN-1, XLEN-2) |   |(25, 0)  ZY XWVU TSRQ PONM LKJI HGFE DCBA
375
376  val mvendorid = RegInit(UInt(XLEN.W), 0.U) // this is a non-commercial implementation
377  val marchid = RegInit(UInt(XLEN.W), 25.U) // architecture id for XiangShan is 25; see https://github.com/riscv/riscv-isa-manual/blob/master/marchid.md
378  val mimpid = RegInit(UInt(XLEN.W), 0.U) // provides a unique encoding of the version of the processor implementation
379  val mhartid = Reg(UInt(XLEN.W)) // the hardware thread running the code
380  when (RegNext(RegNext(reset.asBool) && !reset.asBool)) {
381    mhartid := csrio.hartId
382  }
383  val mconfigptr = RegInit(UInt(XLEN.W), 0.U) // the read-only pointer pointing to the platform config structure, 0 for not supported.
384  val mstatus = RegInit("ha00002000".U(XLEN.W))
385
386  // mstatus Value Table
387  // | sd   |
388  // | pad1 |
389  // | sxl  | hardlinked to 10, use 00 to pass xv6 test
390  // | uxl  | hardlinked to 10
391  // | pad0 |
392  // | tsr  |
393  // | tw   |
394  // | tvm  |
395  // | mxr  |
396  // | sum  |
397  // | mprv |
398  // | xs   | 00 |
399  // | fs   | 01 |
400  // | mpp  | 00 |
401  // | hpp  | 00 |
402  // | spp  | 0 |
403  // | pie  | 0000 | pie.h is used as UBE
404  // | ie   | 0000 | uie hardlinked to 0, as N ext is not implemented
405
406  val mstatusStruct = mstatus.asTypeOf(new MstatusStruct)
407  def mstatusUpdateSideEffect(mstatus: UInt): UInt = {
408    val mstatusOld = WireInit(mstatus.asTypeOf(new MstatusStruct))
409    val mstatusNew = Cat(mstatusOld.xs === "b11".U || mstatusOld.fs === "b11".U, mstatus(XLEN-2, 0))
410    mstatusNew
411  }
412
413  val mstatusWMask = (~ZeroExt((
414    GenMask(XLEN - 2, 36) | // WPRI
415    GenMask(35, 32)       | // SXL and UXL cannot be changed
416    GenMask(31, 23)       | // WPRI
417    GenMask(16, 15)       | // XS is read-only
418    GenMask(10, 9)        | // VS, not supported yet
419    GenMask(6)            | // UBE, always little-endian (0)
420    GenMask(4)            | // WPRI
421    GenMask(2)            | // WPRI
422    GenMask(0)              // WPRI
423  ), 64)).asUInt
424
425  val medeleg = RegInit(UInt(XLEN.W), 0.U)
426  val mideleg = RegInit(UInt(XLEN.W), 0.U)
427  val mscratch = RegInit(UInt(XLEN.W), 0.U)
428
429  // PMP Mapping
430  val pmp = Wire(Vec(NumPMP, new PMPEntry())) // just used for method parameter
431  val pma = Wire(Vec(NumPMA, new PMPEntry())) // just used for method parameter
432  val pmpMapping = pmp_gen_mapping(pmp_init, NumPMP, PmpcfgBase, PmpaddrBase, pmp)
433  val pmaMapping = pmp_gen_mapping(pma_init, NumPMA, PmacfgBase, PmaaddrBase, pma)
434
435  // Superviser-Level CSRs
436
437  // val sstatus = RegInit(UInt(XLEN.W), "h00000000".U)
438  val sstatusWmask = "hc6122".U(XLEN.W)
439  // Sstatus Write Mask
440  // -------------------------------------------------------
441  //    19           9   5     2
442  // 0  1100 0000 0001 0010 0010
443  // 0  c    0    1    2    2
444  // -------------------------------------------------------
445  val sstatusRmask = sstatusWmask | "h8000000300018000".U
446  // Sstatus Read Mask = (SSTATUS_WMASK | (0xf << 13) | (1ull << 63) | (3ull << 32))
447  // stvec: {BASE (WARL), MODE (WARL)} where mode is 0 or 1
448  val stvecMask = ~(0x2.U(XLEN.W))
449  val stvec = RegInit(UInt(XLEN.W), 0.U)
450  // val sie = RegInit(0.U(XLEN.W))
451  val sieMask = "h222".U & mideleg
452  val sipMask = "h222".U & mideleg
453  val sipWMask = "h2".U(XLEN.W) // ssip is writeable in smode
454  val satp = if(EnbaleTlbDebug) RegInit(UInt(XLEN.W), "h8000000000087fbe".U) else RegInit(0.U(XLEN.W))
455  // val satp = RegInit(UInt(XLEN.W), "h8000000000087fbe".U) // only use for tlb naive debug
456  // val satpMask = "h80000fffffffffff".U(XLEN.W) // disable asid, mode can only be 8 / 0
457  // TODO: use config to control the length of asid
458  // val satpMask = "h8fffffffffffffff".U(XLEN.W) // enable asid, mode can only be 8 / 0
459  val satpMask = Cat("h8".U(Satp_Mode_len.W), satp_part_wmask(Satp_Asid_len, AsidLength), satp_part_wmask(Satp_Addr_len, PAddrBits-12))
460  val sepc = RegInit(UInt(XLEN.W), 0.U)
461  // Page 60 in riscv-priv: The low bit of sepc (sepc[0]) is always zero.
462  val sepcMask = ~(0x1.U(XLEN.W))
463  val scause = RegInit(UInt(XLEN.W), 0.U)
464  val stval = Reg(UInt(XLEN.W))
465  val sscratch = RegInit(UInt(XLEN.W), 0.U)
466  val scounteren = RegInit(UInt(XLEN.W), 0.U)
467
468  // sbpctl
469  // Bits 0-7: {LOOP, RAS, SC, TAGE, BIM, BTB, uBTB}
470  val sbpctl = RegInit(UInt(XLEN.W), "h7f".U)
471  csrio.customCtrl.bp_ctrl.ubtb_enable := sbpctl(0)
472  csrio.customCtrl.bp_ctrl.btb_enable  := sbpctl(1)
473  csrio.customCtrl.bp_ctrl.bim_enable  := sbpctl(2)
474  csrio.customCtrl.bp_ctrl.tage_enable := sbpctl(3)
475  csrio.customCtrl.bp_ctrl.sc_enable   := sbpctl(4)
476  csrio.customCtrl.bp_ctrl.ras_enable  := sbpctl(5)
477  csrio.customCtrl.bp_ctrl.loop_enable := sbpctl(6)
478
479  // spfctl Bit 0: L1I Cache Prefetcher Enable
480  // spfctl Bit 1: L2Cache Prefetcher Enable
481  // spfctl Bit 2: L1D Cache Prefetcher Enable
482  // spfctl Bit 3: L1D train prefetch on hit
483  // spfctl Bit 4: L1D prefetch enable agt
484  // spfctl Bit 5: L1D prefetch enable pht
485  // spfctl Bit [9:6]: L1D prefetch active page threshold
486  // spfctl Bit [15:10]: L1D prefetch active page stride
487  // turn off L2 BOP, turn on L1 SMS by default
488  val spfctl = RegInit(UInt(XLEN.W), Seq(
489    0 << 17,    // L2 pf store only [17] init: false
490    1 << 16,    // L1D pf enable stride [16] init: true
491    30 << 10,   // L1D active page stride [15:10] init: 30
492    12 << 6,    // L1D active page threshold [9:6] init: 12
493    1  << 5,    // L1D enable pht [5] init: true
494    1  << 4,    // L1D enable agt [4] init: true
495    0  << 3,    // L1D train on hit [3] init: false
496    1  << 2,    // L1D pf enable [2] init: true
497    1  << 1,    // L2 pf enable [1] init: true
498    1  << 0,    // L1I pf enable [0] init: true
499  ).reduce(_|_).U(XLEN.W))
500  csrio.customCtrl.l1I_pf_enable := spfctl(0)
501  csrio.customCtrl.l2_pf_enable := spfctl(1)
502  csrio.customCtrl.l1D_pf_enable := spfctl(2)
503  csrio.customCtrl.l1D_pf_train_on_hit := spfctl(3)
504  csrio.customCtrl.l1D_pf_enable_agt := spfctl(4)
505  csrio.customCtrl.l1D_pf_enable_pht := spfctl(5)
506  csrio.customCtrl.l1D_pf_active_threshold := spfctl(9, 6)
507  csrio.customCtrl.l1D_pf_active_stride := spfctl(15, 10)
508  csrio.customCtrl.l1D_pf_enable_stride := spfctl(16)
509  csrio.customCtrl.l2_pf_store_only := spfctl(17)
510
511  // sfetchctl Bit 0: L1I Cache Parity check enable
512  val sfetchctl = RegInit(UInt(XLEN.W), "b0".U)
513  csrio.customCtrl.icache_parity_enable := sfetchctl(0)
514
515  // sdsid: Differentiated Services ID
516  val sdsid = RegInit(UInt(XLEN.W), 0.U)
517  csrio.customCtrl.dsid := sdsid
518
519  // slvpredctl: load violation predict settings
520  // Default reset period: 2^16
521  // Why this number: reset more frequently while keeping the overhead low
522  // Overhead: extra two redirections in every 64K cycles => ~0.1% overhead
523  val slvpredctl = RegInit(UInt(XLEN.W), "h60".U)
524  csrio.customCtrl.lvpred_disable := slvpredctl(0)
525  csrio.customCtrl.no_spec_load := slvpredctl(1)
526  csrio.customCtrl.storeset_wait_store := slvpredctl(2)
527  csrio.customCtrl.storeset_no_fast_wakeup := slvpredctl(3)
528  csrio.customCtrl.lvpred_timeout := slvpredctl(8, 4)
529
530  //  smblockctl: memory block configurations
531  //  +------------------------------+---+----+----+-----+--------+
532  //  |XLEN-1                       8| 7 | 6  | 5  |  4  |3      0|
533  //  +------------------------------+---+----+----+-----+--------+
534  //  |           Reserved           | O | CE | SP | LVC |   Th   |
535  //  +------------------------------+---+----+----+-----+--------+
536  //  Description:
537  //  Bit 3-0   : Store buffer flush threshold (Th).
538  //  Bit 4     : Enable load violation check after reset (LVC).
539  //  Bit 5     : Enable soft-prefetch after reset (SP).
540  //  Bit 6     : Enable cache error after reset (CE).
541  //  Bit 7     : Enable uncache write outstanding (O).
542  //  Others    : Reserved.
543
544  val smblockctl_init_val =
545    (0xf & StoreBufferThreshold) |
546    (EnableLdVioCheckAfterReset.toInt << 4) |
547    (EnableSoftPrefetchAfterReset.toInt << 5) |
548    (EnableCacheErrorAfterReset.toInt << 6)
549    (EnableUncacheWriteOutstanding.toInt << 7)
550  val smblockctl = RegInit(UInt(XLEN.W), smblockctl_init_val.U)
551  csrio.customCtrl.sbuffer_threshold := smblockctl(3, 0)
552  // bits 4: enable load load violation check
553  csrio.customCtrl.ldld_vio_check_enable := smblockctl(4)
554  csrio.customCtrl.soft_prefetch_enable := smblockctl(5)
555  csrio.customCtrl.cache_error_enable := smblockctl(6)
556  csrio.customCtrl.uncache_write_outstanding_enable := smblockctl(7)
557
558  println("CSR smblockctl init value:")
559  println("  Store buffer replace threshold: " + StoreBufferThreshold)
560  println("  Enable ld-ld vio check after reset: " + EnableLdVioCheckAfterReset)
561  println("  Enable soft prefetch after reset: " + EnableSoftPrefetchAfterReset)
562  println("  Enable cache error after reset: " + EnableCacheErrorAfterReset)
563  println("  Enable uncache write outstanding: " + EnableUncacheWriteOutstanding)
564
565  val srnctl = RegInit(UInt(XLEN.W), "h7".U)
566  csrio.customCtrl.fusion_enable := srnctl(0)
567  csrio.customCtrl.svinval_enable := srnctl(1)
568  csrio.customCtrl.wfi_enable := srnctl(2)
569
570  val tlbBundle = Wire(new TlbCsrBundle)
571  tlbBundle.satp.apply(satp)
572
573  csrio.tlb := tlbBundle
574
575  // User-Level CSRs
576  val uepc = Reg(UInt(XLEN.W))
577
578  // fcsr
579  class FcsrStruct extends Bundle {
580    val reserved = UInt((XLEN-3-5).W)
581    val frm = UInt(3.W)
582    val fflags = UInt(5.W)
583    assert(this.getWidth == XLEN)
584  }
585  val fcsr = RegInit(0.U(XLEN.W))
586  // set mstatus->sd and mstatus->fs when true
587  val csrw_dirty_fp_state = WireInit(false.B)
588
589  def frm_wfn(wdata: UInt): UInt = {
590    val fcsrOld = WireInit(fcsr.asTypeOf(new FcsrStruct))
591    csrw_dirty_fp_state := true.B
592    fcsrOld.frm := wdata(2,0)
593    fcsrOld.asUInt
594  }
595  def frm_rfn(rdata: UInt): UInt = rdata(7,5)
596
597  def fflags_wfn(update: Boolean)(wdata: UInt): UInt = {
598    val fcsrOld = fcsr.asTypeOf(new FcsrStruct)
599    val fcsrNew = WireInit(fcsrOld)
600    csrw_dirty_fp_state := true.B
601    if (update) {
602      fcsrNew.fflags := wdata(4,0) | fcsrOld.fflags
603    } else {
604      fcsrNew.fflags := wdata(4,0)
605    }
606    fcsrNew.asUInt
607  }
608  def fflags_rfn(rdata:UInt): UInt = rdata(4,0)
609
610  def fcsr_wfn(wdata: UInt): UInt = {
611    val fcsrOld = WireInit(fcsr.asTypeOf(new FcsrStruct))
612    csrw_dirty_fp_state := true.B
613    Cat(fcsrOld.reserved, wdata.asTypeOf(fcsrOld).frm, wdata.asTypeOf(fcsrOld).fflags)
614  }
615
616  val fcsrMapping = Map(
617    MaskedRegMap(Fflags, fcsr, wfn = fflags_wfn(update = false), rfn = fflags_rfn),
618    MaskedRegMap(Frm, fcsr, wfn = frm_wfn, rfn = frm_rfn),
619    MaskedRegMap(Fcsr, fcsr, wfn = fcsr_wfn)
620  )
621
622  // Hart Priviledge Mode
623  val priviledgeMode = RegInit(UInt(2.W), ModeM)
624
625  //val perfEventscounten = List.fill(nrPerfCnts)(RegInit(false(Bool())))
626  // Perf Counter
627  val nrPerfCnts = 29  // 3...31
628  val priviledgeModeOH = UIntToOH(priviledgeMode)
629  val perfEventscounten = RegInit(0.U.asTypeOf(Vec(nrPerfCnts, Bool())))
630  val perfCnts   = List.fill(nrPerfCnts)(RegInit(0.U(XLEN.W)))
631  val perfEvents = List.fill(8)(RegInit("h0000000000".U(XLEN.W))) ++
632                   List.fill(8)(RegInit("h4010040100".U(XLEN.W))) ++
633                   List.fill(8)(RegInit("h8020080200".U(XLEN.W))) ++
634                   List.fill(5)(RegInit("hc0300c0300".U(XLEN.W)))
635  for (i <-0 until nrPerfCnts) {
636    perfEventscounten(i) := (perfEvents(i)(63,60) & priviledgeModeOH).orR
637  }
638
639  val hpmEvents = Wire(Vec(numPCntHc * coreParams.L2NBanks, new PerfEvent))
640  for (i <- 0 until numPCntHc * coreParams.L2NBanks) {
641    hpmEvents(i) := csrio.perf.perfEventsHc(i)
642  }
643
644  // print perfEvents
645  val allPerfEvents = hpmEvents.map(x => (s"Hc", x.value))
646  if (printEventCoding) {
647    for (((name, inc), i) <- allPerfEvents.zipWithIndex) {
648      println("CSR perfEvents Set", name, inc, i)
649    }
650  }
651
652  val csrevents = perfEvents.slice(24, 29)
653  val hpm_hc = HPerfMonitor(csrevents, hpmEvents)
654  val mcountinhibit = RegInit(0.U(XLEN.W))
655  val mcycle = RegInit(0.U(XLEN.W))
656  mcycle := mcycle + 1.U
657  val minstret = RegInit(0.U(XLEN.W))
658  val perf_events = csrio.perf.perfEventsFrontend ++
659                    csrio.perf.perfEventsCtrl ++
660                    csrio.perf.perfEventsLsu ++
661                    hpm_hc.getPerf
662  minstret := minstret + RegNext(csrio.perf.retiredInstr)
663  for(i <- 0 until 29){
664    perfCnts(i) := Mux(mcountinhibit(i+3) | !perfEventscounten(i), perfCnts(i), perfCnts(i) + perf_events(i).value)
665  }
666
667  // CSR reg map
668  val basicPrivMapping = Map(
669
670    //--- User Trap Setup ---
671    // MaskedRegMap(Ustatus, ustatus),
672    // MaskedRegMap(Uie, uie, 0.U, MaskedRegMap.Unwritable),
673    // MaskedRegMap(Utvec, utvec),
674
675    //--- User Trap Handling ---
676    // MaskedRegMap(Uscratch, uscratch),
677    // MaskedRegMap(Uepc, uepc),
678    // MaskedRegMap(Ucause, ucause),
679    // MaskedRegMap(Utval, utval),
680    // MaskedRegMap(Uip, uip),
681
682    //--- User Counter/Timers ---
683    // MaskedRegMap(Cycle, cycle),
684    // MaskedRegMap(Time, time),
685    // MaskedRegMap(Instret, instret),
686
687    //--- Supervisor Trap Setup ---
688    MaskedRegMap(Sstatus, mstatus, sstatusWmask, mstatusUpdateSideEffect, sstatusRmask),
689    // MaskedRegMap(Sedeleg, Sedeleg),
690    // MaskedRegMap(Sideleg, Sideleg),
691    MaskedRegMap(Sie, mie, sieMask, MaskedRegMap.NoSideEffect, sieMask),
692    MaskedRegMap(Stvec, stvec, stvecMask, MaskedRegMap.NoSideEffect, stvecMask),
693    MaskedRegMap(Scounteren, scounteren),
694
695    //--- Supervisor Trap Handling ---
696    MaskedRegMap(Sscratch, sscratch),
697    MaskedRegMap(Sepc, sepc, sepcMask, MaskedRegMap.NoSideEffect, sepcMask),
698    MaskedRegMap(Scause, scause),
699    MaskedRegMap(Stval, stval),
700    MaskedRegMap(Sip, mip.asUInt, sipWMask, MaskedRegMap.Unwritable, sipMask),
701
702    //--- Supervisor Protection and Translation ---
703    MaskedRegMap(Satp, satp, satpMask, MaskedRegMap.NoSideEffect, satpMask),
704
705    //--- Supervisor Custom Read/Write Registers
706    MaskedRegMap(Sbpctl, sbpctl),
707    MaskedRegMap(Spfctl, spfctl),
708    MaskedRegMap(Sfetchctl, sfetchctl),
709    MaskedRegMap(Sdsid, sdsid),
710    MaskedRegMap(Slvpredctl, slvpredctl),
711    MaskedRegMap(Smblockctl, smblockctl),
712    MaskedRegMap(Srnctl, srnctl),
713
714    //--- Machine Information Registers ---
715    MaskedRegMap(Mvendorid, mvendorid, 0.U(XLEN.W), MaskedRegMap.Unwritable),
716    MaskedRegMap(Marchid, marchid, 0.U(XLEN.W), MaskedRegMap.Unwritable),
717    MaskedRegMap(Mimpid, mimpid, 0.U(XLEN.W), MaskedRegMap.Unwritable),
718    MaskedRegMap(Mhartid, mhartid, 0.U(XLEN.W), MaskedRegMap.Unwritable),
719    MaskedRegMap(Mconfigptr, mconfigptr, 0.U(XLEN.W), MaskedRegMap.Unwritable),
720
721    //--- Machine Trap Setup ---
722    MaskedRegMap(Mstatus, mstatus, mstatusWMask, mstatusUpdateSideEffect),
723    MaskedRegMap(Misa, misa, 0.U, MaskedRegMap.Unwritable), // now whole misa is unchangeable
724    MaskedRegMap(Medeleg, medeleg, "hb3ff".U(XLEN.W)),
725    MaskedRegMap(Mideleg, mideleg, "h222".U(XLEN.W)),
726    MaskedRegMap(Mie, mie, "haaa".U(XLEN.W)),
727    MaskedRegMap(Mtvec, mtvec, mtvecMask, MaskedRegMap.NoSideEffect, mtvecMask),
728    MaskedRegMap(Mcounteren, mcounteren),
729
730    //--- Machine Trap Handling ---
731    MaskedRegMap(Mscratch, mscratch),
732    MaskedRegMap(Mepc, mepc, mepcMask, MaskedRegMap.NoSideEffect, mepcMask),
733    MaskedRegMap(Mcause, mcause),
734    MaskedRegMap(Mtval, mtval),
735    MaskedRegMap(Mip, mip.asUInt, 0.U(XLEN.W), MaskedRegMap.Unwritable),
736
737    //--- Trigger ---
738    MaskedRegMap(Tselect, tselectPhy, WritableMask, WriteTselect),
739    MaskedRegMap(Tdata1, tdata1Phy(tselectPhy), WritableMask, WriteTdata1, WritableMask, ReadTdata1),
740    MaskedRegMap(Tdata2, tdata2Phy(tselectPhy)),
741    MaskedRegMap(Tinfo, tinfo, 0.U(XLEN.W), MaskedRegMap.Unwritable),
742    MaskedRegMap(Tcontrol, tControlPhy, tcontrolWriteMask),
743
744    //--- Debug Mode ---
745    MaskedRegMap(Dcsr, dcsr, dcsrMask, dcsrUpdateSideEffect),
746    MaskedRegMap(Dpc, dpc),
747    MaskedRegMap(Dscratch, dscratch),
748    MaskedRegMap(Dscratch1, dscratch1),
749    MaskedRegMap(Mcountinhibit, mcountinhibit),
750    MaskedRegMap(Mcycle, mcycle),
751    MaskedRegMap(Minstret, minstret),
752  )
753
754  val perfCntMapping = (0 until 29).map(i => {Map(
755    MaskedRegMap(addr = Mhpmevent3 +i,
756                 reg  = perfEvents(i),
757                 wmask = "hf87fff3fcff3fcff".U(XLEN.W)),
758    MaskedRegMap(addr = Mhpmcounter3 +i,
759                 reg  = perfCnts(i))
760  )}).fold(Map())((a,b) => a ++ b)
761  // TODO: mechanism should be implemented later
762  // val MhpmcounterStart = Mhpmcounter3
763  // val MhpmeventStart   = Mhpmevent3
764  // for (i <- 0 until nrPerfCnts) {
765  //   perfCntMapping += MaskedRegMap(MhpmcounterStart + i, perfCnts(i))
766  //   perfCntMapping += MaskedRegMap(MhpmeventStart + i, perfEvents(i))
767  // }
768
769  val cacheopRegs = CacheInstrucion.CacheInsRegisterList.map{case (name, attribute) => {
770    name -> RegInit(0.U(attribute("width").toInt.W))
771  }}
772  val cacheopMapping = CacheInstrucion.CacheInsRegisterList.map{case (name, attribute) => {
773    MaskedRegMap(
774      Scachebase + attribute("offset").toInt,
775      cacheopRegs(name)
776    )
777  }}
778
779  val mapping = basicPrivMapping ++
780                perfCntMapping ++
781                pmpMapping ++
782                pmaMapping ++
783                (if (HasFPU) fcsrMapping else Nil) ++
784                (if (HasCustomCSRCacheOp) cacheopMapping else Nil)
785
786  val addr = src2(11, 0)
787  val csri = ZeroExt(src2(16, 12), XLEN)
788  val rdata = Wire(UInt(XLEN.W))
789  val wdata = LookupTree(func, List(
790    CSROpType.wrt  -> src1,
791    CSROpType.set  -> (rdata | src1),
792    CSROpType.clr  -> (rdata & (~src1).asUInt),
793    CSROpType.wrti -> csri,
794    CSROpType.seti -> (rdata | csri),
795    CSROpType.clri -> (rdata & (~csri).asUInt)
796  ))
797
798  val addrInPerfCnt = (addr >= Mcycle.U) && (addr <= Mhpmcounter31.U) ||
799    (addr >= Mcountinhibit.U) && (addr <= Mhpmevent31.U) ||
800    addr === Mip.U
801  csrio.isPerfCnt := addrInPerfCnt && valid && func =/= CSROpType.jmp
802
803  // satp wen check
804  val satpLegalMode = (wdata.asTypeOf(new SatpStruct).mode===0.U) || (wdata.asTypeOf(new SatpStruct).mode===8.U)
805
806  // csr access check, special case
807  val tvmNotPermit = (priviledgeMode === ModeS && mstatusStruct.tvm.asBool)
808  val accessPermitted = !(addr === Satp.U && tvmNotPermit)
809  csrio.disableSfence := tvmNotPermit || priviledgeMode === ModeU
810
811  // general CSR wen check
812  val wen = valid && CSROpType.needAccess(func) && (addr=/=Satp.U || satpLegalMode)
813  val dcsrPermitted = dcsrPermissionCheck(addr, false.B, debugMode)
814  val triggerPermitted = triggerPermissionCheck(addr, true.B, debugMode) // todo dmode
815  val modePermitted = csrAccessPermissionCheck(addr, false.B, priviledgeMode) && dcsrPermitted && triggerPermitted
816  val perfcntPermitted = perfcntPermissionCheck(addr, priviledgeMode, mcounteren, scounteren)
817  val permitted = Mux(addrInPerfCnt, perfcntPermitted, modePermitted) && accessPermitted
818
819  MaskedRegMap.generate(mapping, addr, rdata, wen && permitted, wdata)
820  io.out.bits.data := rdata
821  io.out.bits.uop := io.in.bits.uop
822  io.out.bits.uop.cf := cfOut
823  io.out.bits.uop.ctrl.flushPipe := flushPipe
824
825  // send distribute csr a w signal
826  csrio.customCtrl.distribute_csr.w.valid := wen && permitted
827  csrio.customCtrl.distribute_csr.w.bits.data := wdata
828  csrio.customCtrl.distribute_csr.w.bits.addr := addr
829
830  // Fix Mip/Sip write
831  val fixMapping = Map(
832    MaskedRegMap(Mip, mipReg.asUInt, mipFixMask),
833    MaskedRegMap(Sip, mipReg.asUInt, sipWMask, MaskedRegMap.NoSideEffect, sipMask)
834  )
835  val rdataFix = Wire(UInt(XLEN.W))
836  val wdataFix = LookupTree(func, List(
837    CSROpType.wrt  -> src1,
838    CSROpType.set  -> (rdataFix | src1),
839    CSROpType.clr  -> (rdataFix & (~src1).asUInt),
840    CSROpType.wrti -> csri,
841    CSROpType.seti -> (rdataFix | csri),
842    CSROpType.clri -> (rdataFix & (~csri).asUInt)
843  ))
844  MaskedRegMap.generate(fixMapping, addr, rdataFix, wen && permitted, wdataFix)
845
846  when (RegNext(csrio.fpu.fflags.valid)) {
847    fcsr := fflags_wfn(update = true)(RegNext(csrio.fpu.fflags.bits))
848  }
849  // set fs and sd in mstatus
850  when (csrw_dirty_fp_state || RegNext(csrio.fpu.dirty_fs)) {
851    val mstatusNew = WireInit(mstatus.asTypeOf(new MstatusStruct))
852    mstatusNew.fs := "b11".U
853    mstatusNew.sd := true.B
854    mstatus := mstatusNew.asUInt
855  }
856  csrio.fpu.frm := fcsr.asTypeOf(new FcsrStruct).frm
857
858
859  // Trigger Ctrl
860  csrio.customCtrl.trigger_enable := tdata1Phy.map{t =>
861    def tdata1 = t.asTypeOf(new TdataBundle)
862    tdata1.m && priviledgeMode === ModeM ||
863    tdata1.s && priviledgeMode === ModeS || tdata1.u && priviledgeMode === ModeU
864  }
865  csrio.customCtrl.frontend_trigger.t.valid := RegNext(wen && (addr === Tdata1.U || addr === Tdata2.U) && TypeLookup(tselectPhy) === I_Trigger)
866  csrio.customCtrl.mem_trigger.t.valid := RegNext(wen && (addr === Tdata1.U || addr === Tdata2.U) && TypeLookup(tselectPhy) =/= I_Trigger)
867  XSDebug(csrio.customCtrl.trigger_enable.asUInt.orR, p"Debug Mode: At least 1 trigger is enabled," +
868    p"trigger enable is ${Binary(csrio.customCtrl.trigger_enable.asUInt)}\n")
869
870  // CSR inst decode
871  val isEbreak = addr === privEbreak && func === CSROpType.jmp
872  val isEcall  = addr === privEcall  && func === CSROpType.jmp
873  val isMret   = addr === privMret   && func === CSROpType.jmp
874  val isSret   = addr === privSret   && func === CSROpType.jmp
875  val isUret   = addr === privUret   && func === CSROpType.jmp
876  val isDret   = addr === privDret   && func === CSROpType.jmp
877  val isWFI    = func === CSROpType.wfi
878
879  XSDebug(wen, "csr write: pc %x addr %x rdata %x wdata %x func %x\n", cfIn.pc, addr, rdata, wdata, func)
880  XSDebug(wen, "pc %x mstatus %x mideleg %x medeleg %x mode %x\n", cfIn.pc, mstatus, mideleg , medeleg, priviledgeMode)
881
882  // Illegal priviledged operation list
883  val illegalMret = valid && isMret && priviledgeMode < ModeM
884  val illegalSret = valid && isSret && priviledgeMode < ModeS
885  val illegalSModeSret = valid && isSret && priviledgeMode === ModeS && mstatusStruct.tsr.asBool
886  // When TW=1, then if WFI is executed in any less-privileged mode,
887  // and it does not complete within an implementation-specific, bounded time limit,
888  // the WFI instruction causes an illegal instruction exception.
889  // The time limit may always be 0, in which case WFI always causes
890  // an illegal instruction exception in less-privileged modes when TW=1.
891  val illegalWFI = valid && isWFI && priviledgeMode < ModeM && mstatusStruct.tw === 1.U
892
893  // Illegal priviledged instruction check
894  val isIllegalAddr = valid && CSROpType.needAccess(func) && MaskedRegMap.isIllegalAddr(mapping, addr)
895  val isIllegalAccess = wen && !permitted
896  val isIllegalPrivOp = illegalMret || illegalSret || illegalSModeSret || illegalWFI
897
898  // expose several csr bits for tlb
899  tlbBundle.priv.mxr   := mstatusStruct.mxr.asBool
900  tlbBundle.priv.sum   := mstatusStruct.sum.asBool
901  tlbBundle.priv.imode := priviledgeMode
902  tlbBundle.priv.dmode := Mux(debugMode && dcsr.asTypeOf(new DcsrStruct).mprven, ModeM, Mux(mstatusStruct.mprv.asBool, mstatusStruct.mpp, priviledgeMode))
903
904  // Branch control
905  val retTarget = Wire(UInt(VAddrBits.W))
906  val resetSatp = addr === Satp.U && wen // write to satp will cause the pipeline be flushed
907  flushPipe := resetSatp || (valid && func === CSROpType.jmp && !isEcall && !isEbreak)
908
909  retTarget := DontCare
910  // val illegalEret = TODO
911
912  when (valid && isDret) {
913    val mstatusOld = WireInit(mstatus.asTypeOf(new MstatusStruct))
914    val mstatusNew = WireInit(mstatus.asTypeOf(new MstatusStruct))
915    val dcsrNew = WireInit(dcsr.asTypeOf(new DcsrStruct))
916    val debugModeNew = WireInit(debugMode)
917    when (dcsr.asTypeOf(new DcsrStruct).prv =/= ModeM) {mstatusNew.mprv := 0.U} //If the new privilege mode is less privileged than M-mode, MPRV in mstatus is cleared.
918    mstatus := mstatusNew.asUInt
919    priviledgeMode := dcsrNew.prv
920    retTarget := dpc(VAddrBits-1, 0)
921    debugModeNew := false.B
922    debugIntrEnable := true.B
923    debugMode := debugModeNew
924    XSDebug("Debug Mode: Dret executed, returning to %x.", retTarget)
925  }
926
927  when (valid && isMret && !illegalMret) {
928    val mstatusOld = WireInit(mstatus.asTypeOf(new MstatusStruct))
929    val mstatusNew = WireInit(mstatus.asTypeOf(new MstatusStruct))
930    mstatusNew.ie.m := mstatusOld.pie.m
931    priviledgeMode := mstatusOld.mpp
932    mstatusNew.pie.m := true.B
933    mstatusNew.mpp := ModeU
934    when (mstatusOld.mpp =/= ModeM) { mstatusNew.mprv := 0.U }
935    mstatus := mstatusNew.asUInt
936    // lr := false.B
937    retTarget := mepc(VAddrBits-1, 0)
938  }
939
940  when (valid && isSret && !illegalSret && !illegalSModeSret) {
941    val mstatusOld = WireInit(mstatus.asTypeOf(new MstatusStruct))
942    val mstatusNew = WireInit(mstatus.asTypeOf(new MstatusStruct))
943    mstatusNew.ie.s := mstatusOld.pie.s
944    priviledgeMode := Cat(0.U(1.W), mstatusOld.spp)
945    mstatusNew.pie.s := true.B
946    mstatusNew.spp := ModeU
947    mstatus := mstatusNew.asUInt
948    when (mstatusOld.spp =/= ModeM) { mstatusNew.mprv := 0.U }
949    // lr := false.B
950    retTarget := sepc(VAddrBits-1, 0)
951  }
952
953  when (valid && isUret) {
954    val mstatusOld = WireInit(mstatus.asTypeOf(new MstatusStruct))
955    val mstatusNew = WireInit(mstatus.asTypeOf(new MstatusStruct))
956    // mstatusNew.mpp.m := ModeU //TODO: add mode U
957    mstatusNew.ie.u := mstatusOld.pie.u
958    priviledgeMode := ModeU
959    mstatusNew.pie.u := true.B
960    mstatus := mstatusNew.asUInt
961    retTarget := uepc(VAddrBits-1, 0)
962  }
963
964  io.in.ready := true.B
965  io.out.valid := valid
966
967  val ebreakCauseException = (priviledgeMode === ModeM && dcsrData.ebreakm) || (priviledgeMode === ModeS && dcsrData.ebreaks) || (priviledgeMode === ModeU && dcsrData.ebreaku)
968
969  val csrExceptionVec = WireInit(cfIn.exceptionVec)
970  csrExceptionVec(breakPoint) := io.in.valid && isEbreak && (ebreakCauseException || debugMode)
971  csrExceptionVec(ecallM) := priviledgeMode === ModeM && io.in.valid && isEcall
972  csrExceptionVec(ecallS) := priviledgeMode === ModeS && io.in.valid && isEcall
973  csrExceptionVec(ecallU) := priviledgeMode === ModeU && io.in.valid && isEcall
974  // Trigger an illegal instr exception when:
975  // * unimplemented csr is being read/written
976  // * csr access is illegal
977  csrExceptionVec(illegalInstr) := isIllegalAddr || isIllegalAccess || isIllegalPrivOp
978  cfOut.exceptionVec := csrExceptionVec
979
980  XSDebug(io.in.valid && isEbreak, s"Debug Mode: an Ebreak is executed, ebreak cause exception ? ${ebreakCauseException}\n")
981
982  /**
983    * Exception and Intr
984    */
985  val ideleg =  (mideleg & mip.asUInt)
986  def priviledgedEnableDetect(x: Bool): Bool = Mux(x, ((priviledgeMode === ModeS) && mstatusStruct.ie.s) || (priviledgeMode < ModeS),
987    ((priviledgeMode === ModeM) && mstatusStruct.ie.m) || (priviledgeMode < ModeM))
988
989  val debugIntr = csrio.externalInterrupt.debug & debugIntrEnable
990  XSDebug(debugIntr, "Debug Mode: debug interrupt is asserted and valid!")
991  // send interrupt information to ROB
992  val intrVecEnable = Wire(Vec(12, Bool()))
993  val disableInterrupt = debugMode || (dcsrData.step && !dcsrData.stepie)
994  intrVecEnable.zip(ideleg.asBools).map{case(x,y) => x := priviledgedEnableDetect(y) && !disableInterrupt}
995  val intrVec = Cat(debugIntr && !debugMode, (mie(11,0) & mip.asUInt & intrVecEnable.asUInt))
996  val intrBitSet = intrVec.orR
997  csrio.interrupt := intrBitSet
998  // Page 45 in RISC-V Privileged Specification
999  // The WFI instruction can also be executed when interrupts are disabled. The operation of WFI
1000  // must be unaffected by the global interrupt bits in mstatus (MIE and SIE) and the delegation
1001  // register mideleg, but should honor the individual interrupt enables (e.g, MTIE).
1002  csrio.wfi_event := debugIntr || (mie(11, 0) & mip.asUInt).orR
1003  mipWire.t.m := csrio.externalInterrupt.mtip
1004  mipWire.s.m := csrio.externalInterrupt.msip
1005  mipWire.e.m := csrio.externalInterrupt.meip
1006  mipWire.e.s := csrio.externalInterrupt.seip
1007
1008  // interrupts
1009  val intrNO = IntPriority.foldRight(0.U)((i: Int, sum: UInt) => Mux(intrVec(i), i.U, sum))
1010  val raiseIntr = csrio.exception.valid && csrio.exception.bits.isInterrupt
1011  val ivmEnable = tlbBundle.priv.imode < ModeM && satp.asTypeOf(new SatpStruct).mode === 8.U
1012  val iexceptionPC = Mux(ivmEnable, SignExt(csrio.exception.bits.uop.cf.pc, XLEN), csrio.exception.bits.uop.cf.pc)
1013  val dvmEnable = tlbBundle.priv.dmode < ModeM && satp.asTypeOf(new SatpStruct).mode === 8.U
1014  val dexceptionPC = Mux(dvmEnable, SignExt(csrio.exception.bits.uop.cf.pc, XLEN), csrio.exception.bits.uop.cf.pc)
1015  XSDebug(raiseIntr, "interrupt: pc=0x%x, %d\n", dexceptionPC, intrNO)
1016  val raiseDebugIntr = intrNO === IRQ_DEBUG.U && raiseIntr
1017
1018  // exceptions
1019  val raiseException = csrio.exception.valid && !csrio.exception.bits.isInterrupt
1020  val hasInstrPageFault = csrio.exception.bits.uop.cf.exceptionVec(instrPageFault) && raiseException
1021  val hasLoadPageFault = csrio.exception.bits.uop.cf.exceptionVec(loadPageFault) && raiseException
1022  val hasStorePageFault = csrio.exception.bits.uop.cf.exceptionVec(storePageFault) && raiseException
1023  val hasStoreAddrMisaligned = csrio.exception.bits.uop.cf.exceptionVec(storeAddrMisaligned) && raiseException
1024  val hasLoadAddrMisaligned = csrio.exception.bits.uop.cf.exceptionVec(loadAddrMisaligned) && raiseException
1025  val hasInstrAccessFault = csrio.exception.bits.uop.cf.exceptionVec(instrAccessFault) && raiseException
1026  val hasLoadAccessFault = csrio.exception.bits.uop.cf.exceptionVec(loadAccessFault) && raiseException
1027  val hasStoreAccessFault = csrio.exception.bits.uop.cf.exceptionVec(storeAccessFault) && raiseException
1028  val hasbreakPoint = csrio.exception.bits.uop.cf.exceptionVec(breakPoint) && raiseException
1029  val hasSingleStep = csrio.exception.bits.uop.ctrl.singleStep && raiseException
1030  val hasTriggerHit = (csrio.exception.bits.uop.cf.trigger.hit) && raiseException
1031
1032  XSDebug(hasSingleStep, "Debug Mode: single step exception\n")
1033  XSDebug(hasTriggerHit, p"Debug Mode: trigger hit, is frontend? ${Binary(csrio.exception.bits.uop.cf.trigger.frontendHit.asUInt)} " +
1034    p"backend hit vec ${Binary(csrio.exception.bits.uop.cf.trigger.backendHit.asUInt)}\n")
1035
1036  val raiseExceptionVec = csrio.exception.bits.uop.cf.exceptionVec
1037  val regularExceptionNO = ExceptionNO.priorities.foldRight(0.U)((i: Int, sum: UInt) => Mux(raiseExceptionVec(i), i.U, sum))
1038  val exceptionNO = Mux(hasSingleStep || hasTriggerHit, 3.U, regularExceptionNO)
1039  val causeNO = (raiseIntr << (XLEN-1)).asUInt | Mux(raiseIntr, intrNO, exceptionNO)
1040
1041  val raiseExceptionIntr = csrio.exception.valid
1042
1043  val raiseDebugExceptionIntr = !debugMode && (hasbreakPoint || raiseDebugIntr || hasSingleStep || hasTriggerHit && triggerAction) // TODO
1044  val ebreakEnterParkLoop = debugMode && raiseExceptionIntr
1045
1046  XSDebug(raiseExceptionIntr, "int/exc: pc %x int (%d):%x exc: (%d):%x\n",
1047    dexceptionPC, intrNO, intrVec, exceptionNO, raiseExceptionVec.asUInt
1048  )
1049  XSDebug(raiseExceptionIntr,
1050    "pc %x mstatus %x mideleg %x medeleg %x mode %x\n",
1051    dexceptionPC,
1052    mstatus,
1053    mideleg,
1054    medeleg,
1055    priviledgeMode
1056  )
1057
1058  // mtval write logic
1059  // Due to timing reasons of memExceptionVAddr, we delay the write of mtval and stval
1060  val memExceptionAddr = SignExt(csrio.memExceptionVAddr, XLEN)
1061  val updateTval = VecInit(Seq(
1062    hasInstrPageFault,
1063    hasLoadPageFault,
1064    hasStorePageFault,
1065    hasInstrAccessFault,
1066    hasLoadAccessFault,
1067    hasStoreAccessFault,
1068    hasLoadAddrMisaligned,
1069    hasStoreAddrMisaligned
1070  )).asUInt.orR
1071  when (RegNext(RegNext(updateTval))) {
1072      val tval = Mux(
1073        RegNext(RegNext(hasInstrPageFault || hasInstrAccessFault)),
1074        RegNext(RegNext(Mux(
1075          csrio.exception.bits.uop.cf.crossPageIPFFix,
1076          SignExt(csrio.exception.bits.uop.cf.pc + 2.U, XLEN),
1077          iexceptionPC
1078        ))),
1079        memExceptionAddr
1080    )
1081    when (RegNext(priviledgeMode === ModeM)) {
1082      mtval := tval
1083    }.otherwise {
1084      stval := tval
1085    }
1086  }
1087
1088  val debugTrapTarget = Mux(!isEbreak && debugMode, 0x38020808.U, 0x38020800.U) // 0x808 is when an exception occurs in debug mode prog buf exec
1089  val deleg = Mux(raiseIntr, mideleg , medeleg)
1090  // val delegS = ((deleg & (1 << (causeNO & 0xf))) != 0) && (priviledgeMode < ModeM);
1091  val delegS = deleg(causeNO(3,0)) && (priviledgeMode < ModeM)
1092  val clearTval = !updateTval || raiseIntr
1093  val isXRet = io.in.valid && func === CSROpType.jmp && !isEcall && !isEbreak
1094
1095  // ctrl block will use theses later for flush
1096  val isXRetFlag = RegInit(false.B)
1097  when (DelayN(io.redirectIn.valid, 5)) {
1098    isXRetFlag := false.B
1099  }.elsewhen (isXRet) {
1100    isXRetFlag := true.B
1101  }
1102  csrio.isXRet := isXRetFlag
1103  val retTargetReg = RegEnable(retTarget, isXRet)
1104
1105  val tvec = Mux(delegS, stvec, mtvec)
1106  val tvecBase = tvec(VAddrBits - 1, 2)
1107  // XRet sends redirect instead of Flush and isXRetFlag is true.B before redirect.valid.
1108  // ROB sends exception at T0 while CSR receives at T2.
1109  // We add a RegNext here and trapTarget is valid at T3.
1110  csrio.trapTarget := RegEnable(Mux(isXRetFlag,
1111    retTargetReg,
1112    Mux(raiseDebugExceptionIntr || ebreakEnterParkLoop, debugTrapTarget,
1113      // When MODE=Vectored, all synchronous exceptions into M/S mode
1114      // cause the pc to be set to the address in the BASE field, whereas
1115      // interrupts cause the pc to be set to the address in the BASE field
1116      // plus four times the interrupt cause number.
1117      Cat(tvecBase + Mux(tvec(0) && raiseIntr, causeNO(3, 0), 0.U), 0.U(2.W))
1118  )), isXRetFlag || csrio.exception.valid)
1119
1120  when (raiseExceptionIntr) {
1121    val mstatusOld = WireInit(mstatus.asTypeOf(new MstatusStruct))
1122    val mstatusNew = WireInit(mstatus.asTypeOf(new MstatusStruct))
1123    val dcsrNew = WireInit(dcsr.asTypeOf(new DcsrStruct))
1124    val debugModeNew = WireInit(debugMode)
1125
1126    when (raiseDebugExceptionIntr) {
1127      when (raiseDebugIntr) {
1128        debugModeNew := true.B
1129        mstatusNew.mprv := false.B
1130        dpc := iexceptionPC
1131        dcsrNew.cause := 3.U
1132        dcsrNew.prv := priviledgeMode
1133        priviledgeMode := ModeM
1134        XSDebug(raiseDebugIntr, "Debug Mode: Trap to %x at pc %x\n", debugTrapTarget, dpc)
1135      }.elsewhen ((hasbreakPoint || hasSingleStep) && !debugMode) {
1136        // ebreak or ss in running hart
1137        debugModeNew := true.B
1138        dpc := iexceptionPC
1139        dcsrNew.cause := Mux(hasTriggerHit, 2.U, Mux(hasbreakPoint, 1.U, 4.U))
1140        dcsrNew.prv := priviledgeMode // TODO
1141        priviledgeMode := ModeM
1142        mstatusNew.mprv := false.B
1143      }
1144      dcsr := dcsrNew.asUInt
1145      debugIntrEnable := false.B
1146    }.elsewhen (debugMode) {
1147      //do nothing
1148    }.elsewhen (delegS) {
1149      scause := causeNO
1150      sepc := Mux(hasInstrPageFault || hasInstrAccessFault, iexceptionPC, dexceptionPC)
1151      mstatusNew.spp := priviledgeMode
1152      mstatusNew.pie.s := mstatusOld.ie.s
1153      mstatusNew.ie.s := false.B
1154      priviledgeMode := ModeS
1155      when (clearTval) { stval := 0.U }
1156    }.otherwise {
1157      mcause := causeNO
1158      mepc := Mux(hasInstrPageFault || hasInstrAccessFault, iexceptionPC, dexceptionPC)
1159      mstatusNew.mpp := priviledgeMode
1160      mstatusNew.pie.m := mstatusOld.ie.m
1161      mstatusNew.ie.m := false.B
1162      priviledgeMode := ModeM
1163      when (clearTval) { mtval := 0.U }
1164    }
1165    mstatus := mstatusNew.asUInt
1166    debugMode := debugModeNew
1167  }
1168
1169  XSDebug(raiseExceptionIntr && delegS, "sepc is written!!! pc:%x\n", cfIn.pc)
1170
1171  // Distributed CSR update req
1172  //
1173  // For now we use it to implement customized cache op
1174  // It can be delayed if necessary
1175
1176  val delayedUpdate0 = DelayN(csrio.distributedUpdate(0), 2)
1177  val delayedUpdate1 = DelayN(csrio.distributedUpdate(1), 2)
1178  val distributedUpdateValid = delayedUpdate0.w.valid || delayedUpdate1.w.valid
1179  val distributedUpdateAddr = Mux(delayedUpdate0.w.valid,
1180    delayedUpdate0.w.bits.addr,
1181    delayedUpdate1.w.bits.addr
1182  )
1183  val distributedUpdateData = Mux(delayedUpdate0.w.valid,
1184    delayedUpdate0.w.bits.data,
1185    delayedUpdate1.w.bits.data
1186  )
1187
1188  assert(!(delayedUpdate0.w.valid && delayedUpdate1.w.valid))
1189
1190  when(distributedUpdateValid){
1191    // cacheopRegs can be distributed updated
1192    CacheInstrucion.CacheInsRegisterList.map{case (name, attribute) => {
1193      when((Scachebase + attribute("offset").toInt).U === distributedUpdateAddr){
1194        cacheopRegs(name) := distributedUpdateData
1195      }
1196    }}
1197  }
1198
1199  // Cache error debug support
1200  if(HasCustomCSRCacheOp){
1201    val cache_error_decoder = Module(new CSRCacheErrorDecoder)
1202    cache_error_decoder.io.encoded_cache_error := cacheopRegs("CACHE_ERROR")
1203  }
1204
1205  // Implicit add reset values for mepc[0] and sepc[0]
1206  // TODO: rewrite mepc and sepc using a struct-like style with the LSB always being 0
1207  when (RegNext(RegNext(reset.asBool) && !reset.asBool)) {
1208    mepc := Cat(mepc(XLEN - 1, 1), 0.U(1.W))
1209    sepc := Cat(sepc(XLEN - 1, 1), 0.U(1.W))
1210  }
1211
1212  def readWithScala(addr: Int): UInt = mapping(addr)._1
1213
1214  val difftestIntrNO = Mux(raiseIntr, causeNO, 0.U)
1215
1216  // Always instantiate basic difftest modules.
1217  if (env.AlwaysBasicDiff || env.EnableDifftest) {
1218    val difftest = DifftestModule(new DiffArchEvent, delay = 3, dontCare = true)
1219    difftest.coreid      := csrio.hartId
1220    difftest.valid       := csrio.exception.valid
1221    difftest.interrupt   := Mux(raiseIntr, causeNO, 0.U)
1222    difftest.exception   := Mux(raiseException, causeNO, 0.U)
1223    difftest.exceptionPC := dexceptionPC
1224    if (env.EnableDifftest) {
1225      difftest.exceptionInst := csrio.exception.bits.uop.cf.instr
1226    }
1227  }
1228
1229  // Always instantiate basic difftest modules.
1230  if (env.AlwaysBasicDiff || env.EnableDifftest) {
1231    val difftest = DifftestModule(new DiffCSRState)
1232    difftest.coreid := csrio.hartId
1233    difftest.priviledgeMode := priviledgeMode
1234    difftest.mstatus := mstatus
1235    difftest.sstatus := mstatus & sstatusRmask
1236    difftest.mepc := mepc
1237    difftest.sepc := sepc
1238    difftest.mtval:= mtval
1239    difftest.stval:= stval
1240    difftest.mtvec := mtvec
1241    difftest.stvec := stvec
1242    difftest.mcause := mcause
1243    difftest.scause := scause
1244    difftest.satp := satp
1245    difftest.mip := mipReg
1246    difftest.mie := mie
1247    difftest.mscratch := mscratch
1248    difftest.sscratch := sscratch
1249    difftest.mideleg := mideleg
1250    difftest.medeleg := medeleg
1251  }
1252
1253  if(env.AlwaysBasicDiff || env.EnableDifftest) {
1254    val difftest = DifftestModule(new DiffDebugMode)
1255    difftest.coreid := csrio.hartId
1256    difftest.debugMode := debugMode
1257    difftest.dcsr := dcsr
1258    difftest.dpc := dpc
1259    difftest.dscratch0 := dscratch
1260    difftest.dscratch1 := dscratch1
1261  }
1262}
1263
1264class PFEvent(implicit p: Parameters) extends XSModule with HasCSRConst  {
1265  val io = IO(new Bundle {
1266    val distribute_csr = Flipped(new DistributedCSRIO())
1267    val hpmevent = Output(Vec(29, UInt(XLEN.W)))
1268  })
1269
1270  val w = io.distribute_csr.w
1271
1272  val perfEvents = List.fill(8)(RegInit("h0000000000".U(XLEN.W))) ++
1273                   List.fill(8)(RegInit("h4010040100".U(XLEN.W))) ++
1274                   List.fill(8)(RegInit("h8020080200".U(XLEN.W))) ++
1275                   List.fill(5)(RegInit("hc0300c0300".U(XLEN.W)))
1276
1277  val perfEventMapping = (0 until 29).map(i => {Map(
1278    MaskedRegMap(addr = Mhpmevent3 +i,
1279                 reg  = perfEvents(i),
1280                 wmask = "hf87fff3fcff3fcff".U(XLEN.W))
1281  )}).fold(Map())((a,b) => a ++ b)
1282
1283  val rdata = Wire(UInt(XLEN.W))
1284  MaskedRegMap.generate(perfEventMapping, w.bits.addr, rdata, w.valid, w.bits.data)
1285  for(i <- 0 until 29){
1286    io.hpmevent(i) := perfEvents(i)
1287  }
1288}
1289