xref: /XiangShan/src/main/scala/xiangshan/backend/fu/Alu.scala (revision 4a6ab1cdf2a8c847e0e2b9a3b28bb454149d5b11)
1e18c367fSLinJiaweipackage xiangshan.backend.fu
2e18c367fSLinJiawei
3e18c367fSLinJiaweiimport chisel3._
4e18c367fSLinJiaweiimport chisel3.util._
531ea8750SLinJiaweiimport utils.{LookupTree, ParallelMux, SignExt, ZeroExt}
6e18c367fSLinJiaweiimport xiangshan._
7e18c367fSLinJiaweiimport xiangshan.backend.ALUOpType
8e18c367fSLinJiawei
931ea8750SLinJiaweiclass AddModule extends XSModule {
1031ea8750SLinJiawei  val io = IO(new Bundle() {
1131ea8750SLinJiawei    val src1, src2 = Input(UInt(XLEN.W))
1231ea8750SLinJiawei    val out = Output(UInt((XLEN+1).W))
1331ea8750SLinJiawei  })
1431ea8750SLinJiawei  io.out := io.src1 +& io.src2
1531ea8750SLinJiawei}
1631ea8750SLinJiawei
1731ea8750SLinJiaweiclass SubModule extends XSModule {
1831ea8750SLinJiawei  val io = IO(new Bundle() {
1931ea8750SLinJiawei    val src1, src2 = Input(UInt(XLEN.W))
2031ea8750SLinJiawei    val out = Output(UInt((XLEN+1).W))
2131ea8750SLinJiawei  })
2231ea8750SLinJiawei  io.out := (io.src1 +& (~io.src2).asUInt()) + 1.U
2331ea8750SLinJiawei}
2431ea8750SLinJiawei
2531ea8750SLinJiaweiclass LeftShiftModule extends XSModule {
2631ea8750SLinJiawei  val io = IO(new Bundle() {
2731ea8750SLinJiawei    val shamt = Input(UInt(6.W))
2831ea8750SLinJiawei    val sllSrc = Input(UInt(XLEN.W))
2931ea8750SLinJiawei    val sll = Output(UInt(XLEN.W))
3031ea8750SLinJiawei  })
3131ea8750SLinJiawei  io.sll := (io.sllSrc << io.shamt)(XLEN - 1, 0)
3231ea8750SLinJiawei}
3331ea8750SLinJiawei
3431ea8750SLinJiaweiclass RightShiftModule extends XSModule {
3531ea8750SLinJiawei  val io = IO(new Bundle() {
3631ea8750SLinJiawei    val shamt = Input(UInt(6.W))
3731ea8750SLinJiawei    val srlSrc, sraSrc = Input(UInt(XLEN.W))
3831ea8750SLinJiawei    val srl, sra = Output(UInt(XLEN.W))
3931ea8750SLinJiawei  })
4031ea8750SLinJiawei  io.srl := io.srlSrc >> io.shamt
4131ea8750SLinJiawei  io.sra := (io.sraSrc.asSInt() >> io.shamt).asUInt()
4231ea8750SLinJiawei}
4331ea8750SLinJiawei
4431ea8750SLinJiaweiclass ShiftModule extends XSModule {
4531ea8750SLinJiawei  val io = IO(new Bundle() {
4631ea8750SLinJiawei    val shamt = Input(UInt(6.W))
4731ea8750SLinJiawei    val shsrc1 = Input(UInt(XLEN.W))
4831ea8750SLinJiawei    val sll, srl, sra = Output(UInt(XLEN.W))
4931ea8750SLinJiawei  })
5031ea8750SLinJiawei  io.sll := (io.shsrc1 << io.shamt)(XLEN-1, 0)
5131ea8750SLinJiawei  io.srl := io.shsrc1 >> io.shamt
5231ea8750SLinJiawei  io.sra := (io.shsrc1.asSInt >> io.shamt).asUInt
5331ea8750SLinJiawei}
5431ea8750SLinJiawei
5531ea8750SLinJiaweiclass MiscResultSelect extends XSModule {
5631ea8750SLinJiawei  val io = IO(new Bundle() {
5731ea8750SLinJiawei    val func = Input(UInt())
5831ea8750SLinJiawei    val sll, slt, sltu, xor, srl, or, and, sra = Input(UInt(XLEN.W))
5931ea8750SLinJiawei    val miscRes = Output(UInt(XLEN.W))
6031ea8750SLinJiawei
6131ea8750SLinJiawei  })
6231ea8750SLinJiawei  io.miscRes := ParallelMux(List(
6331ea8750SLinJiawei    ALUOpType.and  -> io.and,
6431ea8750SLinJiawei    ALUOpType.or   -> io.or,
6531ea8750SLinJiawei    ALUOpType.xor  -> io.xor,
6631ea8750SLinJiawei    ALUOpType.slt  -> ZeroExt(io.slt, XLEN),
6731ea8750SLinJiawei    ALUOpType.sltu -> ZeroExt(io.sltu, XLEN),
6831ea8750SLinJiawei    ALUOpType.srl  -> io.srl,
6931ea8750SLinJiawei    ALUOpType.sll  -> io.sll,
7031ea8750SLinJiawei    ALUOpType.sra  -> io.sra
7131ea8750SLinJiawei  ).map(x => (x._1 === io.func(3, 0), x._2)))
7231ea8750SLinJiawei}
7331ea8750SLinJiawei
7431ea8750SLinJiaweiclass AluResSel extends XSModule {
7531ea8750SLinJiawei  val io = IO(new Bundle() {
7631ea8750SLinJiawei    val func = Input(UInt())
7731ea8750SLinJiawei    val isSub = Input(Bool())
7831ea8750SLinJiawei    val addRes, subRes, miscRes = Input(UInt(XLEN.W))
7931ea8750SLinJiawei    val aluRes = Output(UInt(XLEN.W))
8031ea8750SLinJiawei  })
8131ea8750SLinJiawei  val isAddSub = ALUOpType.isAddSub(io.func)
8231ea8750SLinJiawei  val res = Mux(ALUOpType.isAddSub(io.func),
8331ea8750SLinJiawei    Mux(io.isSub, io.subRes, io.addRes),
8431ea8750SLinJiawei    io.miscRes
8531ea8750SLinJiawei  )
8631ea8750SLinJiawei  val h32 = Mux(ALUOpType.isWordOp(io.func), Fill(32, res(31)), res(63, 32))
8731ea8750SLinJiawei  io.aluRes := Cat(h32, res(31, 0))
8831ea8750SLinJiawei}
8931ea8750SLinJiawei
9052c3f215SLinJiaweiclass Alu extends FunctionUnit with HasRedirectOut {
91e18c367fSLinJiawei
92b0ae3ac4SLinJiawei  val (src1, src2, func, pc, uop) = (
93e18c367fSLinJiawei    io.in.bits.src(0),
94e18c367fSLinJiawei    io.in.bits.src(1),
95e18c367fSLinJiawei    io.in.bits.uop.ctrl.fuOpType,
96e18c367fSLinJiawei    SignExt(io.in.bits.uop.cf.pc, AddrBits),
97e18c367fSLinJiawei    io.in.bits.uop
98e18c367fSLinJiawei  )
99e18c367fSLinJiawei
100dfd9e0a8SLinJiawei  val valid = io.in.valid
101e18c367fSLinJiawei
102e18c367fSLinJiawei  val isAdderSub = (func =/= ALUOpType.add) && (func =/= ALUOpType.addw)
10331ea8750SLinJiawei  val addModule = Module(new AddModule)
10431ea8750SLinJiawei  addModule.io.src1 := src1
10531ea8750SLinJiawei  addModule.io.src2 := src2
10631ea8750SLinJiawei  val subModule = Module(new SubModule)
10731ea8750SLinJiawei  subModule.io.src1 := src1
10831ea8750SLinJiawei  subModule.io.src2 := src2
10931ea8750SLinJiawei  val addRes = addModule.io.out
11031ea8750SLinJiawei  val subRes = subModule.io.out
111e18c367fSLinJiawei  val xorRes = src1 ^ src2
1123ef996e9SLinJiawei  val sltu = !subRes(XLEN)
113e18c367fSLinJiawei  val slt = xorRes(XLEN-1) ^ sltu
114e18c367fSLinJiawei
11531ea8750SLinJiawei  val isW = ALUOpType.isWordOp(func)
116*4a6ab1cdSLinJiawei  val shamt = Cat(!isW && src2(5), src2(4, 0))
1173ef996e9SLinJiawei
11831ea8750SLinJiawei  val leftShiftModule = Module(new LeftShiftModule)
11931ea8750SLinJiawei  leftShiftModule.io.sllSrc := src1
12031ea8750SLinJiawei  leftShiftModule.io.shamt := shamt
1213ef996e9SLinJiawei
12231ea8750SLinJiawei  val rightShiftModule = Module(new RightShiftModule)
12331ea8750SLinJiawei  rightShiftModule.io.shamt := shamt
12431ea8750SLinJiawei  rightShiftModule.io.srlSrc := Cat(
12531ea8750SLinJiawei    Mux(isW, 0.U(32.W), src1(63, 32)),
12631ea8750SLinJiawei    src1(31, 0)
12731ea8750SLinJiawei  )
12831ea8750SLinJiawei  rightShiftModule.io.sraSrc := Cat(
12931ea8750SLinJiawei    Mux(isW, Fill(32, src1(31)), src1(63, 32)),
13031ea8750SLinJiawei    src1(31, 0)
1313ef996e9SLinJiawei  )
1323ef996e9SLinJiawei
13331ea8750SLinJiawei  val sll = leftShiftModule.io.sll
13431ea8750SLinJiawei  val srl = rightShiftModule.io.srl
13531ea8750SLinJiawei  val sra = rightShiftModule.io.sra
13631ea8750SLinJiawei
13731ea8750SLinJiawei  val miscResSel = Module(new MiscResultSelect)
13831ea8750SLinJiawei  miscResSel.io.func := func(3, 0)
13931ea8750SLinJiawei  miscResSel.io.sll := sll
14031ea8750SLinJiawei  miscResSel.io.slt := ZeroExt(slt, XLEN)
14131ea8750SLinJiawei  miscResSel.io.sltu := ZeroExt(sltu, XLEN)
14231ea8750SLinJiawei  miscResSel.io.xor := xorRes
14331ea8750SLinJiawei  miscResSel.io.srl := srl
14431ea8750SLinJiawei  miscResSel.io.or := (src1 | src2)
14531ea8750SLinJiawei  miscResSel.io.and := (src1 & src2)
14631ea8750SLinJiawei  miscResSel.io.sra := sra
14731ea8750SLinJiawei
14831ea8750SLinJiawei  val miscRes = miscResSel.io.miscRes
14931ea8750SLinJiawei
15031ea8750SLinJiawei  val aluResSel = Module(new AluResSel)
15131ea8750SLinJiawei  aluResSel.io.func := func
15231ea8750SLinJiawei  aluResSel.io.isSub := isAdderSub
15331ea8750SLinJiawei  aluResSel.io.addRes := addRes
15431ea8750SLinJiawei  aluResSel.io.subRes := subRes
15531ea8750SLinJiawei  aluResSel.io.miscRes := miscRes
15631ea8750SLinJiawei  val aluRes = aluResSel.io.aluRes
157e18c367fSLinJiawei
158e18c367fSLinJiawei  val branchOpTable = List(
159e18c367fSLinJiawei    ALUOpType.getBranchType(ALUOpType.beq)  -> !xorRes.orR,
160e18c367fSLinJiawei    ALUOpType.getBranchType(ALUOpType.blt)  -> slt,
161e18c367fSLinJiawei    ALUOpType.getBranchType(ALUOpType.bltu) -> sltu
162e18c367fSLinJiawei  )
163e18c367fSLinJiawei
164869210c7SYinan Xu  val isBranch = ALUOpType.isBranch(func)
1656060732cSLinJiawei  val taken = LookupTree(ALUOpType.getBranchType(func), branchOpTable) ^ ALUOpType.isBranchInvert(func)
166e18c367fSLinJiawei
167e18c367fSLinJiawei  redirectOutValid := io.out.valid && isBranch
168151e3043SLinJiawei  redirectOut := DontCare
169bfb958a3SYinan Xu  redirectOut.level := RedirectLevel.flushAfter
170e18c367fSLinJiawei  redirectOut.roqIdx := uop.roqIdx
171cde9280dSLinJiawei  redirectOut.ftqIdx := uop.cf.ftqPtr
172cde9280dSLinJiawei  redirectOut.ftqOffset := uop.cf.ftqOffset
1736060732cSLinJiawei  redirectOut.cfiUpdate.isMisPred := (uop.cf.pred_taken ^ taken) && isBranch
174cde9280dSLinJiawei  redirectOut.cfiUpdate.taken := taken
175cde9280dSLinJiawei  redirectOut.cfiUpdate.predTaken := uop.cf.pred_taken
176151e3043SLinJiawei
177e18c367fSLinJiawei  io.in.ready := io.out.ready
178e18c367fSLinJiawei  io.out.valid := valid
179e18c367fSLinJiawei  io.out.bits.uop <> io.in.bits.uop
180e18c367fSLinJiawei  io.out.bits.data := aluRes
181e18c367fSLinJiawei}
182