17f9f0a79SzhanglyGit/*************************************************************************************** 27f9f0a79SzhanglyGit * Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences 37f9f0a79SzhanglyGit * Copyright (c) 2020-2021 Peng Cheng Laboratory 47f9f0a79SzhanglyGit * 57f9f0a79SzhanglyGit * XiangShan is licensed under Mulan PSL v2. 67f9f0a79SzhanglyGit * You can use this software according to the terms and conditions of the Mulan PSL v2. 77f9f0a79SzhanglyGit * You may obtain a copy of Mulan PSL v2 at: 87f9f0a79SzhanglyGit * http://license.coscl.org.cn/MulanPSL2 97f9f0a79SzhanglyGit * 107f9f0a79SzhanglyGit * THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND, 117f9f0a79SzhanglyGit * EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT, 127f9f0a79SzhanglyGit * MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE. 137f9f0a79SzhanglyGit * 147f9f0a79SzhanglyGit * See the Mulan PSL v2 for more details. 157f9f0a79SzhanglyGit ***************************************************************************************/ 167f9f0a79SzhanglyGit 177f9f0a79SzhanglyGitpackage xiangshan.backend.decode 187f9f0a79SzhanglyGit 1983ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters 207f9f0a79SzhanglyGitimport chisel3._ 217f9f0a79SzhanglyGitimport chisel3.util._ 227f9f0a79SzhanglyGitimport freechips.rocketchip.rocket.Instructions 237f9f0a79SzhanglyGitimport freechips.rocketchip.util.uintToBitPat 247f9f0a79SzhanglyGitimport utils._ 257f9f0a79SzhanglyGitimport utility._ 267f9f0a79SzhanglyGitimport xiangshan.ExceptionNO.illegalInstr 277f9f0a79SzhanglyGitimport xiangshan._ 287f9f0a79SzhanglyGitimport xiangshan.backend.fu.fpu.FPU 297f9f0a79SzhanglyGitimport xiangshan.backend.fu.FuType 307f9f0a79SzhanglyGitimport freechips.rocketchip.rocket.Instructions._ 317f9f0a79SzhanglyGitimport xiangshan.backend.Bundles.{DecodedInst, StaticInst} 327f9f0a79SzhanglyGitimport xiangshan.backend.fu.vector.Bundles.{VType, VLmul, VSew} 337f9f0a79SzhanglyGitimport yunsuan.VpermType 34*c4501a6fSZiyue-Zhangimport chisel3.util.experimental.decode.{QMCMinimizer, TruthTable, decoder} 35*c4501a6fSZiyue-Zhang 36*c4501a6fSZiyue-Zhangclass strdiedLSNumOfUopTable() extends Module { 37*c4501a6fSZiyue-Zhang val src = IO(Input(UInt(5.W))) 38*c4501a6fSZiyue-Zhang val out = IO(Output(UInt(4.W))) 39*c4501a6fSZiyue-Zhang // strided load/store 40*c4501a6fSZiyue-Zhang var combVemulNf : Seq[(Int, Int, Int)] = Seq() 41*c4501a6fSZiyue-Zhang for (emul <- 0 until 4) { 42*c4501a6fSZiyue-Zhang for (nf <- 0 until 8) { 43*c4501a6fSZiyue-Zhang if ((1 << emul) * (nf + 1) <= 8) { 44*c4501a6fSZiyue-Zhang combVemulNf :+= (emul, nf, (1 << emul) * (nf + 1)) 45*c4501a6fSZiyue-Zhang } else { 46*c4501a6fSZiyue-Zhang combVemulNf :+= (emul, nf, 0) 47*c4501a6fSZiyue-Zhang } 48*c4501a6fSZiyue-Zhang } 49*c4501a6fSZiyue-Zhang } 50*c4501a6fSZiyue-Zhang out := decoder(QMCMinimizer, src, TruthTable(combVemulNf.map { 51*c4501a6fSZiyue-Zhang case (emul, nf, uopNum) => (BitPat((emul << 3 | nf).U(5.W)), BitPat(uopNum.U(4.W))) 52*c4501a6fSZiyue-Zhang }, BitPat.N(4))) 53*c4501a6fSZiyue-Zhang} 54*c4501a6fSZiyue-Zhang 55*c4501a6fSZiyue-Zhangclass indexedLSNumOfUopTable() extends Module { 56*c4501a6fSZiyue-Zhang val src = IO(Input(UInt(7.W))) 57*c4501a6fSZiyue-Zhang val out = IO(Output(UInt(7.W))) 58*c4501a6fSZiyue-Zhang // strided load/store 59*c4501a6fSZiyue-Zhang var combVemulNf : Seq[(Int, Int, Int, Int)] = Seq() 60*c4501a6fSZiyue-Zhang for (emul <- 0 until 4) { 61*c4501a6fSZiyue-Zhang for (lmul <- 0 until 4) { 62*c4501a6fSZiyue-Zhang var max_mul = if (lmul > emul) lmul else emul 63*c4501a6fSZiyue-Zhang for (nf <- 0 until 8) { 64*c4501a6fSZiyue-Zhang if ((1 << lmul) * (nf + 1) <= 8) { // indexed load/store must ensure that the lmul * nf is less or equal to 8 65*c4501a6fSZiyue-Zhang combVemulNf :+= (emul, lmul, nf, (1 << max_mul) * (nf + 1)) 66*c4501a6fSZiyue-Zhang } else { 67*c4501a6fSZiyue-Zhang combVemulNf :+= (emul, lmul, nf, 0) 68*c4501a6fSZiyue-Zhang } 69*c4501a6fSZiyue-Zhang } 70*c4501a6fSZiyue-Zhang } 71*c4501a6fSZiyue-Zhang } 72*c4501a6fSZiyue-Zhang out := decoder(QMCMinimizer, src, TruthTable(combVemulNf.map { 73*c4501a6fSZiyue-Zhang case (emul, lmul, nf, uopNum) => (BitPat((emul << 5 | lmul << 3 | nf).U(7.W)), BitPat(uopNum.U(7.W))) 74*c4501a6fSZiyue-Zhang }, BitPat.N(7))) 75*c4501a6fSZiyue-Zhang} 767f9f0a79SzhanglyGit 777f9f0a79SzhanglyGitclass UopInfoGen (implicit p: Parameters) extends XSModule { 787f9f0a79SzhanglyGit val io = IO(new UopInfoGenIO) 797f9f0a79SzhanglyGit 80*c4501a6fSZiyue-Zhang val stridedLSTable = Module(new strdiedLSNumOfUopTable) // decoder for strided load/store 81*c4501a6fSZiyue-Zhang val indexedLSTable = Module(new indexedLSNumOfUopTable) // decoder for indexed load/store 82*c4501a6fSZiyue-Zhang 8387dd4e0dSzhanglyGit val typeOfSplit = io.in.preInfo.typeOfSplit 8487dd4e0dSzhanglyGit val vsew = Cat(0.U(1.W), io.in.preInfo.vsew) 8587dd4e0dSzhanglyGit val veew = Cat(0.U(1.W), io.in.preInfo.vwidth(1, 0)) 860a34fc22SZiyue Zhang val vmvn = io.in.preInfo.vmvn 8787dd4e0dSzhanglyGit val vlmul = io.in.preInfo.vlmul 88*c4501a6fSZiyue-Zhang val nf = io.in.preInfo.nf 897f9f0a79SzhanglyGit val isComplex = io.out.isComplex 907f9f0a79SzhanglyGit 917f9f0a79SzhanglyGit val lmul = MuxLookup(vlmul, 1.U(4.W), Array( 927f9f0a79SzhanglyGit "b001".U -> 2.U, 937f9f0a79SzhanglyGit "b010".U -> 4.U, 947f9f0a79SzhanglyGit "b011".U -> 8.U 957f9f0a79SzhanglyGit )) 96*c4501a6fSZiyue-Zhang val simple_lmul = MuxLookup(vlmul, 0.U(2.W), Array( 97*c4501a6fSZiyue-Zhang "b001".U -> 1.U, 98*c4501a6fSZiyue-Zhang "b010".U -> 2.U, 99*c4501a6fSZiyue-Zhang "b011".U -> 3.U 100*c4501a6fSZiyue-Zhang )) 1017f9f0a79SzhanglyGit 1027f9f0a79SzhanglyGit val vemul: UInt = veew.asUInt + 1.U + vlmul.asUInt + ~vsew.asUInt 1037f9f0a79SzhanglyGit 1047f9f0a79SzhanglyGit val emul = MuxLookup(vemul, 1.U(4.W), Array( 1057f9f0a79SzhanglyGit "b001".U -> 2.U, 1067f9f0a79SzhanglyGit "b010".U -> 4.U, 1077f9f0a79SzhanglyGit "b011".U -> 8.U 1087f9f0a79SzhanglyGit )) //TODO : eew and emul illegal exception need to be handled 109*c4501a6fSZiyue-Zhang val simple_emul = MuxLookup(vemul, 0.U(2.W), Array( 110*c4501a6fSZiyue-Zhang "b001".U -> 1.U, 111*c4501a6fSZiyue-Zhang "b010".U -> 2.U, 112*c4501a6fSZiyue-Zhang "b011".U -> 3.U 113*c4501a6fSZiyue-Zhang )) 1147f9f0a79SzhanglyGit 1157f9f0a79SzhanglyGit val numOfUopVslide = MuxLookup(vlmul, 1.U(log2Up(MaxUopSize + 1).W), Array( 1167f9f0a79SzhanglyGit "b001".U -> 3.U, 1177f9f0a79SzhanglyGit "b010".U -> 10.U, 1187f9f0a79SzhanglyGit "b011".U -> 36.U 1197f9f0a79SzhanglyGit )) 1207f9f0a79SzhanglyGit val numOfUopVrgather = MuxLookup(vlmul, 1.U(log2Up(MaxUopSize + 1).W), Array( 1217f9f0a79SzhanglyGit "b001".U -> 4.U, 1227f9f0a79SzhanglyGit "b010".U -> 16.U, 1237f9f0a79SzhanglyGit "b011".U -> 64.U 1247f9f0a79SzhanglyGit )) 1257f9f0a79SzhanglyGit val numOfUopVrgatherei16 = Mux((!vsew.orR) && (vlmul =/= "b011".U), 1267f9f0a79SzhanglyGit Cat(numOfUopVrgather, 0.U(1.W)), 1277f9f0a79SzhanglyGit numOfUopVrgather 1287f9f0a79SzhanglyGit ) 1297f9f0a79SzhanglyGit val numOfUopVcompress = MuxLookup(vlmul, 1.U(4.W), Array( 1307f9f0a79SzhanglyGit "b001".U -> 4.U, 1317f9f0a79SzhanglyGit "b010".U -> 13.U, 1327f9f0a79SzhanglyGit "b011".U -> 43.U 1337f9f0a79SzhanglyGit )) 134582849ffSxiaofeibao-xjtu val numOfUopVFRED = { 135582849ffSxiaofeibao-xjtu // addTime include add frs1 136582849ffSxiaofeibao-xjtu val addTime = MuxLookup(vlmul, 1.U(4.W), Array( 137582849ffSxiaofeibao-xjtu VLmul.m2 -> 2.U, 138582849ffSxiaofeibao-xjtu VLmul.m4 -> 4.U, 139582849ffSxiaofeibao-xjtu VLmul.m8 -> 8.U, 140582849ffSxiaofeibao-xjtu )) 141582849ffSxiaofeibao-xjtu val foldLastVlmul = MuxLookup(vsew, "b000".U, Array( 142582849ffSxiaofeibao-xjtu VSew.e16 -> VLmul.mf8, 143582849ffSxiaofeibao-xjtu VSew.e32 -> VLmul.mf4, 144582849ffSxiaofeibao-xjtu VSew.e64 -> VLmul.mf2, 145582849ffSxiaofeibao-xjtu )) 146582849ffSxiaofeibao-xjtu // lmul < 1, foldTime = vlmul - foldFastVlmul 147582849ffSxiaofeibao-xjtu // lmul >= 1, foldTime = 0.U - foldFastVlmul 148582849ffSxiaofeibao-xjtu val foldTime = Mux(vlmul(2), vlmul, 0.U) - foldLastVlmul 149582849ffSxiaofeibao-xjtu addTime + foldTime 150582849ffSxiaofeibao-xjtu } 151b94b1889Sxiaofeibao-xjtu val numOfUopVFREDOSUM = { 152b94b1889Sxiaofeibao-xjtu val uvlMax = MuxLookup(vsew, 0.U, Array( 153b94b1889Sxiaofeibao-xjtu VSew.e16 -> 8.U, 154b94b1889Sxiaofeibao-xjtu VSew.e32 -> 4.U, 155b94b1889Sxiaofeibao-xjtu VSew.e64 -> 2.U, 156b94b1889Sxiaofeibao-xjtu )) 157b94b1889Sxiaofeibao-xjtu val vlMax = Wire(UInt(7.W)) 158b94b1889Sxiaofeibao-xjtu vlMax := Mux(vlmul(2), uvlMax >> (-vlmul)(1,0), uvlMax << vlmul(1,0)).asUInt 159b94b1889Sxiaofeibao-xjtu vlMax 160b94b1889Sxiaofeibao-xjtu } 1617f9f0a79SzhanglyGit 162*c4501a6fSZiyue-Zhang stridedLSTable.src := Cat(simple_emul, nf) 163*c4501a6fSZiyue-Zhang val numOfUopVLoadStoreStrided = stridedLSTable.out 164*c4501a6fSZiyue-Zhang indexedLSTable.src := Cat(simple_emul, simple_lmul, nf) 165*c4501a6fSZiyue-Zhang val numOfUopVLoadStoreIndexed = indexedLSTable.out 166*c4501a6fSZiyue-Zhang 1677f9f0a79SzhanglyGit //number of uop 1687f9f0a79SzhanglyGit val numOfUop = MuxLookup(typeOfSplit, 1.U(log2Up(MaxUopSize + 1).W), Array( 1697f9f0a79SzhanglyGit UopSplitType.VEC_0XV -> 2.U, 1707f9f0a79SzhanglyGit UopSplitType.VEC_VVV -> lmul, 171684d7aceSxiaofeibao-xjtu UopSplitType.VEC_VFV -> lmul, 1727f9f0a79SzhanglyGit UopSplitType.VEC_EXT2 -> lmul, 1737f9f0a79SzhanglyGit UopSplitType.VEC_EXT4 -> lmul, 1747f9f0a79SzhanglyGit UopSplitType.VEC_EXT8 -> lmul, 1757f9f0a79SzhanglyGit UopSplitType.VEC_VVM -> lmul, 176f06d6d60Sxiaofeibao-xjtu UopSplitType.VEC_VFM -> lmul, 177582849ffSxiaofeibao-xjtu UopSplitType.VEC_VFRED -> numOfUopVFRED, 178b94b1889Sxiaofeibao-xjtu UopSplitType.VEC_VFREDOSUM -> numOfUopVFREDOSUM, 1797f9f0a79SzhanglyGit UopSplitType.VEC_VXM -> (lmul +& 1.U), 1807f9f0a79SzhanglyGit UopSplitType.VEC_VXV -> (lmul +& 1.U), 1813748ec56Sxiaofeibao-xjtu UopSplitType.VEC_VFW -> Cat(lmul, 0.U(1.W)), // lmul <= 4 1823748ec56Sxiaofeibao-xjtu UopSplitType.VEC_WFW -> Cat(lmul, 0.U(1.W)), // lmul <= 4 1837f9f0a79SzhanglyGit UopSplitType.VEC_VVW -> Cat(lmul, 0.U(1.W)), // lmul <= 4 1847f9f0a79SzhanglyGit UopSplitType.VEC_WVW -> Cat(lmul, 0.U(1.W)), // lmul <= 4 1857f9f0a79SzhanglyGit UopSplitType.VEC_VXW -> Cat(lmul, 1.U(1.W)), // lmul <= 4 1867f9f0a79SzhanglyGit UopSplitType.VEC_WXW -> Cat(lmul, 1.U(1.W)), // lmul <= 4 1877f9f0a79SzhanglyGit UopSplitType.VEC_WVV -> Cat(lmul, 0.U(1.W)), // lmul <= 4 1887f9f0a79SzhanglyGit UopSplitType.VEC_WXV -> Cat(lmul, 1.U(1.W)), // lmul <= 4 1897f9f0a79SzhanglyGit UopSplitType.VEC_SLIDE1UP -> (lmul +& 1.U), 1907f9f0a79SzhanglyGit UopSplitType.VEC_FSLIDE1UP -> lmul, 1917f9f0a79SzhanglyGit UopSplitType.VEC_SLIDE1DOWN -> Cat(lmul, 0.U(1.W)), 1927f9f0a79SzhanglyGit UopSplitType.VEC_FSLIDE1DOWN -> (Cat(lmul, 0.U(1.W)) - 1.U), 1937f9f0a79SzhanglyGit UopSplitType.VEC_VRED -> lmul, 1947f9f0a79SzhanglyGit UopSplitType.VEC_SLIDEUP -> (numOfUopVslide + 1.U), 1957f9f0a79SzhanglyGit UopSplitType.VEC_SLIDEDOWN -> (numOfUopVslide + 1.U), 1967f9f0a79SzhanglyGit UopSplitType.VEC_M0X -> (lmul +& 1.U), 1977f9f0a79SzhanglyGit UopSplitType.VEC_MVV -> (Cat(lmul, 0.U(1.W)) - 1.U), 1987f9f0a79SzhanglyGit UopSplitType.VEC_M0X_VFIRST -> 2.U, 1997f9f0a79SzhanglyGit UopSplitType.VEC_VWW -> Cat(lmul, 0.U(1.W)), 2007f9f0a79SzhanglyGit UopSplitType.VEC_RGATHER -> numOfUopVrgather, 2017f9f0a79SzhanglyGit UopSplitType.VEC_RGATHER_VX -> (numOfUopVrgather +& 1.U), 2027f9f0a79SzhanglyGit UopSplitType.VEC_RGATHEREI16 -> numOfUopVrgatherei16, 2030a34fc22SZiyue Zhang UopSplitType.VEC_MVNR -> (vmvn +& 1.U), 204*c4501a6fSZiyue-Zhang UopSplitType.VEC_US_LDST -> (numOfUopVLoadStoreStrided +& 1.U), // with one move instruction 205*c4501a6fSZiyue-Zhang UopSplitType.VEC_S_LDST -> (numOfUopVLoadStoreStrided +& 2.U), // with two move instructions 206*c4501a6fSZiyue-Zhang UopSplitType.VEC_I_LDST -> (numOfUopVLoadStoreIndexed +& 1.U), 2077f9f0a79SzhanglyGit )) 2087f9f0a79SzhanglyGit 2097f9f0a79SzhanglyGit isComplex := (numOfUop > 1.U) || (typeOfSplit === UopSplitType.DIR) 2107f9f0a79SzhanglyGit io.out.uopInfo.numOfUop := numOfUop 2117f9f0a79SzhanglyGit io.out.uopInfo.lmul := lmul 2127f9f0a79SzhanglyGit 2137f9f0a79SzhanglyGit} 2147f9f0a79SzhanglyGit 2157f9f0a79SzhanglyGitclass UopInfoGenIO(implicit p: Parameters) extends XSBundle { 2167f9f0a79SzhanglyGit val in = new Bundle { 21787dd4e0dSzhanglyGit val preInfo = Input(new PreInfo) 2187f9f0a79SzhanglyGit } 2197f9f0a79SzhanglyGit val out = new Bundle { 2207f9f0a79SzhanglyGit val isComplex = Output(Bool()) 2217f9f0a79SzhanglyGit val uopInfo = Output(new UopInfo) 2227f9f0a79SzhanglyGit } 2237f9f0a79SzhanglyGit} 2247f9f0a79SzhanglyGit 22587dd4e0dSzhanglyGitclass PreInfo(implicit p: Parameters) extends XSBundle { 2267f9f0a79SzhanglyGit val typeOfSplit = UopSplitType() 2277f9f0a79SzhanglyGit val vsew = VSew() //2 bit 2287f9f0a79SzhanglyGit val vlmul = VLmul() 2297f9f0a79SzhanglyGit val vwidth = UInt(3.W) //eew 230*c4501a6fSZiyue-Zhang val nf = UInt(3.W) 2310a34fc22SZiyue Zhang val vmvn = UInt(3.W) // vmvnr 2327f9f0a79SzhanglyGit} 2337f9f0a79SzhanglyGit 2347f9f0a79SzhanglyGitclass UopInfo(implicit p: Parameters) extends XSBundle { 2357f9f0a79SzhanglyGit val numOfUop = UInt(log2Up(MaxUopSize + 1).W) 2367f9f0a79SzhanglyGit val lmul = UInt(4.W) 2377f9f0a79SzhanglyGit}