1package xiangshan.backend.datapath 2 3import org.chipsalliance.cde.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import difftest.{DiffFpWriteback, DiffIntWriteback, DifftestModule} 7import utils.XSError 8import xiangshan.backend.BackendParams 9import xiangshan.backend.Bundles.{ExuOutput, WriteBackBundle} 10import xiangshan.backend.datapath.DataConfig.{IntData, VecData} 11import xiangshan.backend.regfile.RfWritePortWithConfig 12import xiangshan.{Redirect, XSBundle, XSModule} 13 14class WbArbiterDispatcherIO[T <: Data](private val gen: T, n: Int) extends Bundle { 15 val in = Flipped(DecoupledIO(gen)) 16 17 val out = Vec(n, DecoupledIO(gen)) 18} 19 20class WbArbiterDispatcher[T <: Data](private val gen: T, n: Int, acceptCond: T => (Seq[Bool], Bool)) 21 (implicit p: Parameters) 22 extends Module { 23 24 val io = IO(new WbArbiterDispatcherIO(gen, n)) 25 26 private val acceptVec: Vec[Bool] = VecInit(acceptCond(io.in.bits)._1) 27 28 XSError(io.in.valid && PopCount(acceptVec) > 1.U, s"[ExeUnit] accept vec should no more than 1, ${Binary(acceptVec.asUInt)} ") 29 30 io.out.zipWithIndex.foreach { case (out, i) => 31 out.valid := acceptVec(i) && io.in.valid 32 out.bits := io.in.bits 33 } 34 35 io.in.ready := Cat(io.out.zip(acceptVec).map{ case(out, canAccept) => out.ready && canAccept}).orR || acceptCond(io.in.bits)._2 36} 37 38class WbArbiterIO()(implicit p: Parameters, params: WbArbiterParams) extends XSBundle { 39 val flush = Flipped(ValidIO(new Redirect)) 40 val in: MixedVec[DecoupledIO[WriteBackBundle]] = Flipped(params.genInput) 41 val out: MixedVec[ValidIO[WriteBackBundle]] = params.genOutput 42 43 def inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = in.groupBy(_.bits.params.port).map(x => (x._1, x._2.sortBy(_.bits.params.priority).toSeq)) 44} 45 46class WbArbiter(params: WbArbiterParams)(implicit p: Parameters) extends XSModule { 47 val io = IO(new WbArbiterIO()(p, params)) 48 49 private val inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = io.inGroup 50 51 private val arbiters: Seq[Option[RealWBArbiter[WriteBackBundle]]] = Seq.tabulate(params.numOut) { x => { 52 if (inGroup.contains(x)) { 53 Some(Module(new RealWBArbiter(new WriteBackBundle(inGroup.values.head.head.bits.params, backendParams), inGroup(x).length))) 54 } else { 55 None 56 } 57 }} 58 59 arbiters.zipWithIndex.foreach { case (arb, i) => 60 if (arb.nonEmpty) { 61 arb.get.io.in.zip(inGroup(i)).foreach { case (arbIn, wbIn) => 62 arbIn <> wbIn 63 } 64 } 65 } 66 67 io.out.zip(arbiters).foreach { case (wbOut, arb) => 68 if (arb.nonEmpty) { 69 val arbOut = arb.get.io.out 70 arbOut.ready := true.B 71 wbOut.valid := arbOut.valid 72 wbOut.bits := arbOut.bits 73 } else { 74 wbOut := 0.U.asTypeOf(wbOut) 75 } 76 } 77 78 def getInOutMap: Map[Int, Int] = { 79 (params.wbCfgs.indices zip params.wbCfgs.map(_.port)).toMap 80 } 81} 82 83class WbDataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle { 84 val flush = Flipped(ValidIO(new Redirect())) 85 86 val fromTop = new Bundle { 87 val hartId = Input(UInt(8.W)) 88 } 89 90 val fromIntExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.intSchdParams.get.genExuOutputDecoupledBundle) 91 92 val fromVfExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.vfSchdParams.get.genExuOutputDecoupledBundle) 93 94 val fromMemExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.memSchdParams.get.genExuOutputDecoupledBundle) 95 96 val toIntPreg = Flipped(MixedVec(Vec(params.numPregWb(IntData()), 97 new RfWritePortWithConfig(params.intPregParams.dataCfg, params.intPregParams.addrWidth)))) 98 99 val toVfPreg = Flipped(MixedVec(Vec(params.numPregWb(VecData()), 100 new RfWritePortWithConfig(params.vfPregParams.dataCfg, params.vfPregParams.addrWidth)))) 101 102 val toCtrlBlock = new Bundle { 103 val writeback: MixedVec[ValidIO[ExuOutput]] = params.genWrite2CtrlBundles 104 } 105} 106 107class WbDataPath(params: BackendParams)(implicit p: Parameters) extends XSModule { 108 val io = IO(new WbDataPathIO()(p, params)) 109 110 // split 111 val fromExuPre = (io.fromIntExu ++ io.fromVfExu ++ io.fromMemExu).flatten 112 val fromExuVld: Seq[DecoupledIO[ExuOutput]] = fromExuPre.filter(_.bits.params.hasVLoadFu).toSeq 113 require(fromExuVld.size == 1, "vldCnt should be 1") 114 val vldMgu = Module(new VldMergeUnit(fromExuVld.head.bits.params)) 115 vldMgu.io.flush := io.flush 116 vldMgu.io.writeback <> fromExuVld.head 117 val wbReplaceVld: Seq[DecoupledIO[ExuOutput]] = fromExuPre.updated(fromExuPre.indexWhere(_.bits.params.hasVLoadFu), vldMgu.io.writebackAfterMerge).toSeq 118 val fromExu: MixedVec[DecoupledIO[ExuOutput]] = Wire(chiselTypeOf(MixedVecInit(wbReplaceVld))) 119 120 // io.fromExuPre ------------------------------------------------------------> fromExu 121 // \ / 122 // -> vldMgu.io.writeback -> vldMgu.io.writebackAfterMerge / 123 (fromExu zip wbReplaceVld).foreach { case (sink, source) => 124 sink.valid := source.valid 125 sink.bits := source.bits 126 source.ready := sink.ready 127 } 128 129 // fromExu -> ArbiterInput 130 val intArbiterInputsWire = Wire(chiselTypeOf(fromExu)) 131 val intArbiterInputsWireY = intArbiterInputsWire.filter(_.bits.params.writeIntRf) 132 val intArbiterInputsWireN = intArbiterInputsWire.filterNot(_.bits.params.writeIntRf) 133 134 val vfArbiterInputsWire = Wire(chiselTypeOf(fromExu)) 135 val vfArbiterInputsWireY = vfArbiterInputsWire.filter(_.bits.params.writeVfRf) 136 val vfArbiterInputsWireN = vfArbiterInputsWire.filterNot(_.bits.params.writeVfRf) 137 138 def acceptCond(exuOutput: ExuOutput): (Seq[Bool], Bool) = { 139 val intWen = if(exuOutput.intWen.isDefined) exuOutput.intWen.get else false.B 140 val fpwen = if(exuOutput.fpWen.isDefined) exuOutput.fpWen.get else false.B 141 val vecWen = if(exuOutput.vecWen.isDefined) exuOutput.vecWen.get else false.B 142 (Seq(intWen, fpwen || vecWen), !intWen && !fpwen && !vecWen) 143 } 144 145 intArbiterInputsWire.zip(vfArbiterInputsWire).zip(fromExu).foreach { 146 case ((intArbiterInput, vfArbiterInput), exuOut) => 147 val writeCond = acceptCond(exuOut.bits) 148 val intWrite = Wire(Bool()) 149 val vfWrite = Wire(Bool()) 150 val notWrite = Wire(Bool()) 151 152 intWrite := exuOut.valid && writeCond._1(0) 153 vfWrite := exuOut.valid && writeCond._1(1) 154 notWrite := writeCond._2 155 156 intArbiterInput.valid := intWrite 157 intArbiterInput.bits := exuOut.bits 158 vfArbiterInput.valid := vfWrite 159 vfArbiterInput.bits := exuOut.bits 160 161 if (exuOut.bits.params.writeIntRf && exuOut.bits.params.isVfExeUnit) { 162 intWrite := RegNext(exuOut.valid && writeCond._1(0)) 163 intArbiterInput.bits := RegEnable(exuOut.bits, exuOut.valid) 164 } 165 166 println(s"[WbDataPath] exu: ${exuOut.bits.params.exuIdx}, uncertain: ${exuOut.bits.params.hasUncertainLatency}, certain: ${exuOut.bits.params.latencyCertain}") 167 168 // only EXUs with uncertain latency need result of arbiter 169 // the result data can be maintained until getting success in arbiter 170 if (exuOut.bits.params.hasUncertainLatency) { 171 exuOut.ready := intArbiterInput.ready && intWrite || vfArbiterInput.ready && vfWrite || notWrite 172 } else { 173 exuOut.ready := true.B 174 175 // for EXUs with certain latency, if the request fails in arbiter, the result data will be permanently lost 176 when (intWrite) { 177 assert(intArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write int regfile\n") 178 } 179 when (vfWrite) { 180 assert(vfArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write vf regfile\n") 181 } 182 } 183 // the ports not writting back pregs are always ready 184 // the ports set highest priority are always ready 185 if (exuOut.bits.params.hasNoDataWB || exuOut.bits.params.isHighestWBPriority) { 186 exuOut.ready := true.B 187 } 188 } 189 intArbiterInputsWireN.foreach(_.ready := false.B) 190 vfArbiterInputsWireN.foreach(_.ready := false.B) 191 192 println(s"[WbDataPath] write int preg: " + 193 s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeIntRf)}) " + 194 s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeIntRf)}) " + 195 s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeIntRf)})" 196 ) 197 println(s"[WbDataPath] write vf preg: " + 198 s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeVfRf)}) " + 199 s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeVfRf)}) " + 200 s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeVfRf)})" 201 ) 202 203 // wb arbiter 204 private val intWbArbiter = Module(new WbArbiter(params.getIntWbArbiterParams)) 205 private val vfWbArbiter = Module(new WbArbiter(params.getVfWbArbiterParams)) 206 println(s"[WbDataPath] int preg write back port num: ${intWbArbiter.io.out.size}, active port: ${intWbArbiter.io.inGroup.keys.toSeq.sorted}") 207 println(s"[WbDataPath] vf preg write back port num: ${vfWbArbiter.io.out.size}, active port: ${vfWbArbiter.io.inGroup.keys.toSeq.sorted}") 208 209 // module assign 210 intWbArbiter.io.flush <> io.flush 211 require(intWbArbiter.io.in.size == intArbiterInputsWireY.size, s"intWbArbiter input size: ${intWbArbiter.io.in.size}, all vf wb size: ${intArbiterInputsWireY.size}") 212 intWbArbiter.io.in.zip(intArbiterInputsWireY).foreach { case (arbiterIn, in) => 213 arbiterIn.valid := in.valid && in.bits.intWen.get 214 in.ready := arbiterIn.ready 215 arbiterIn.bits.fromExuOutput(in.bits) 216 } 217 private val intWbArbiterOut = intWbArbiter.io.out 218 219 vfWbArbiter.io.flush <> io.flush 220 require(vfWbArbiter.io.in.size == vfArbiterInputsWireY.size, s"vfWbArbiter input size: ${vfWbArbiter.io.in.size}, all vf wb size: ${vfArbiterInputsWireY.size}") 221 vfWbArbiter.io.in.zip(vfArbiterInputsWireY).foreach { case (arbiterIn, in) => 222 arbiterIn.valid := in.valid && (in.bits.fpWen.getOrElse(false.B) || in.bits.vecWen.getOrElse(false.B)) 223 in.ready := arbiterIn.ready 224 arbiterIn.bits.fromExuOutput(in.bits) 225 } 226 private val vfWbArbiterOut = vfWbArbiter.io.out 227 228 // WB -> CtrlBlock 229 private val intExuInputs = io.fromIntExu.flatten.toSeq 230 private val intExuWBs = WireInit(MixedVecInit(intExuInputs)) 231 private val vfExuInputs = io.fromVfExu.flatten.toSeq 232 private val vfExuWBs = WireInit(MixedVecInit(vfExuInputs)) 233 private val memExuInputs = io.fromMemExu.flatten.toSeq 234 private val memExuWBs = WireInit(MixedVecInit(memExuInputs)) 235 236 // only fired port can write back to ctrl block 237 (intExuWBs zip intExuInputs).foreach { case (wb, input) => wb.valid := input.fire } 238 (vfExuWBs zip vfExuInputs).foreach { case (wb, input) => wb.valid := input.fire } 239 (memExuWBs zip memExuInputs).foreach { case (wb, input) => wb.valid := input.fire } 240 241 // io assign 242 private val toIntPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(intWbArbiterOut.map(x => x.bits.asIntRfWriteBundle(x.fire)).toSeq) 243 private val toVfPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(vfWbArbiterOut.map(x => x.bits.asVfRfWriteBundle(x.fire)).toSeq) 244 245 private val wb2Ctrl = intExuWBs ++ vfExuWBs ++ memExuWBs 246 247 io.toIntPreg := toIntPreg 248 io.toVfPreg := toVfPreg 249 io.toCtrlBlock.writeback.zip(wb2Ctrl).foreach { case (sink, source) => 250 sink.valid := source.valid 251 sink.bits := source.bits 252 source.ready := true.B 253 } 254 255 // debug 256 if(backendParams.debugEn) { 257 dontTouch(intArbiterInputsWire) 258 dontTouch(vfArbiterInputsWire) 259 } 260 261 // difftest 262 if (env.EnableDifftest || env.AlwaysBasicDiff) { 263 intWbArbiterOut.foreach(out => { 264 val difftest = DifftestModule(new DiffIntWriteback(IntPhyRegs)) 265 difftest.coreid := io.fromTop.hartId 266 difftest.valid := out.fire && out.bits.rfWen 267 difftest.address := out.bits.pdest 268 difftest.data := out.bits.data 269 }) 270 } 271 272 if (env.EnableDifftest || env.AlwaysBasicDiff) { 273 vfWbArbiterOut.foreach(out => { 274 val difftest = DifftestModule(new DiffFpWriteback(VfPhyRegs)) 275 difftest.coreid := io.fromTop.hartId 276 difftest.valid := out.fire // all fp instr will write fp rf 277 difftest.address := out.bits.pdest 278 difftest.data := out.bits.data 279 }) 280 } 281 282} 283 284 285 286 287