xref: /XiangShan/src/main/scala/xiangshan/backend/datapath/WbArbiter.scala (revision b03c55a5df5dc8793cb44b42dd60141566e57e78)
1package xiangshan.backend.datapath
2
3import org.chipsalliance.cde.config.Parameters
4import chisel3._
5import chisel3.util._
6import difftest.{DiffFpWriteback, DiffIntWriteback, DifftestModule, DiffVecWriteback}
7import utility.XSError
8import xiangshan.backend.BackendParams
9import xiangshan.backend.Bundles.{ExuOutput, WriteBackBundle}
10import xiangshan.backend.datapath.DataConfig._
11import xiangshan.backend.regfile.RfWritePortWithConfig
12import xiangshan.{Redirect, XSBundle, XSModule}
13import xiangshan.SrcType.v0
14
15class WbArbiterDispatcherIO[T <: Data](private val gen: T, n: Int) extends Bundle {
16  val in = Flipped(DecoupledIO(gen))
17
18  val out = Vec(n, DecoupledIO(gen))
19}
20
21class WbArbiterDispatcher[T <: Data](private val gen: T, n: Int, acceptCond: T => (Seq[Bool], Bool))
22                           (implicit p: Parameters)
23  extends Module {
24
25  val io = IO(new WbArbiterDispatcherIO(gen, n))
26
27  private val acceptVec: Vec[Bool] = VecInit(acceptCond(io.in.bits)._1)
28
29  XSError(io.in.valid && PopCount(acceptVec) > 1.U, p"[ExeUnit] accept vec should no more than 1, ${Binary(acceptVec.asUInt)} ")
30
31  io.out.zipWithIndex.foreach { case (out, i) =>
32    out.valid := acceptVec(i) && io.in.valid
33    out.bits := io.in.bits
34  }
35
36  io.in.ready := Cat(io.out.zip(acceptVec).map{ case(out, canAccept) => out.ready && canAccept}).orR || acceptCond(io.in.bits)._2
37}
38
39class WbArbiterIO()(implicit p: Parameters, params: WbArbiterParams) extends XSBundle {
40  val flush = Flipped(ValidIO(new Redirect))
41  val in: MixedVec[DecoupledIO[WriteBackBundle]] = Flipped(params.genInput)
42  val out: MixedVec[ValidIO[WriteBackBundle]] = params.genOutput
43
44  def inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = in.groupBy(_.bits.params.port).map(x => (x._1, x._2.sortBy(_.bits.params.priority).toSeq))
45}
46
47class RealWBCollideChecker(params: WbArbiterParams)(implicit p: Parameters) extends XSModule {
48  val io = IO(new WbArbiterIO()(p, params))
49
50  private val inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = io.inGroup
51
52  private val arbiters: Seq[Option[RealWBArbiter[WriteBackBundle]]] = Seq.tabulate(params.numOut) { x => {
53    if (inGroup.contains(x)) {
54      Some(Module(new RealWBArbiter(new WriteBackBundle(inGroup.values.head.head.bits.params, backendParams), inGroup(x).length)))
55    } else {
56      None
57    }
58  }}
59
60  arbiters.zipWithIndex.foreach { case (arb, i) =>
61    if (arb.nonEmpty) {
62      arb.get.io.in.zip(inGroup(i)).foreach { case (arbIn, wbIn) =>
63        arbIn <> wbIn
64      }
65    }
66  }
67
68  io.out.zip(arbiters).foreach { case (wbOut, arb) =>
69    if (arb.nonEmpty) {
70      val arbOut = arb.get.io.out
71      arbOut.ready := true.B
72      wbOut.valid := arbOut.valid
73      wbOut.bits := arbOut.bits
74    } else {
75      wbOut := 0.U.asTypeOf(wbOut)
76    }
77  }
78
79  def getInOutMap: Map[Int, Int] = {
80    (params.wbCfgs.indices zip params.wbCfgs.map(_.port)).toMap
81  }
82}
83
84class WbDataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle {
85  val flush = Flipped(ValidIO(new Redirect()))
86
87  val fromTop = new Bundle {
88    val hartId = Input(UInt(8.W))
89  }
90
91  val fromIntExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.intSchdParams.get.genExuOutputDecoupledBundle)
92
93  val fromFpExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.fpSchdParams.get.genExuOutputDecoupledBundle)
94
95  val fromVfExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.vfSchdParams.get.genExuOutputDecoupledBundle)
96
97  val fromMemExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.memSchdParams.get.genExuOutputDecoupledBundle)
98
99  val toIntPreg = Flipped(MixedVec(Vec(params.numPregWb(IntData()),
100    new RfWritePortWithConfig(params.intPregParams.dataCfg, params.intPregParams.addrWidth))))
101
102  val toFpPreg = Flipped(MixedVec(Vec(params.numPregWb(FpData()),
103    new RfWritePortWithConfig(params.fpPregParams.dataCfg, params.fpPregParams.addrWidth))))
104
105  val toVfPreg = Flipped(MixedVec(Vec(params.numPregWb(VecData()),
106    new RfWritePortWithConfig(params.vfPregParams.dataCfg, params.vfPregParams.addrWidth))))
107
108  val toV0Preg = Flipped(MixedVec(Vec(params.numPregWb(V0Data()),
109    new RfWritePortWithConfig(params.v0PregParams.dataCfg, params.v0PregParams.addrWidth))))
110
111  val toVlPreg = Flipped(MixedVec(Vec(params.numPregWb(VlData()),
112    new RfWritePortWithConfig(params.vlPregParams.dataCfg, params.vlPregParams.addrWidth))))
113
114  val toCtrlBlock = new Bundle {
115    val writeback: MixedVec[ValidIO[ExuOutput]] = params.genWrite2CtrlBundles
116  }
117}
118
119class WbDataPath(params: BackendParams)(implicit p: Parameters) extends XSModule {
120  val io = IO(new WbDataPathIO()(p, params))
121
122  // split
123  val fromExuPre = collection.mutable.Seq() ++ (io.fromIntExu ++ io.fromFpExu ++ io.fromVfExu ++ io.fromMemExu).flatten
124  val fromExuVld: Seq[DecoupledIO[ExuOutput]] = fromExuPre.filter(_.bits.params.hasVLoadFu).toSeq
125  val vldMgu: Seq[VldMergeUnit] = fromExuVld.map(x => Module(new VldMergeUnit(x.bits.params)))
126  vldMgu.zip(fromExuVld).foreach{ case (mgu, exu) =>
127    mgu.io.flush := io.flush
128    mgu.io.writeback <> exu
129  }
130  val wbReplaceVld = fromExuPre
131  val vldIdx: Seq[Int] = vldMgu.map(x => fromExuPre.indexWhere(_.bits.params == x.params))
132  println("vldIdx: " + vldIdx)
133  vldIdx.zip(vldMgu).foreach{ case (id, wb) =>
134    wbReplaceVld.update(id, wb.io.writebackAfterMerge)
135  }
136  val fromExu = Wire(chiselTypeOf(MixedVecInit(wbReplaceVld.toSeq)))
137
138  // io.fromExuPre ------------------------------------------------------------> fromExu
139  //               \                                                         /
140  //                -> vldMgu.io.writeback -> vldMgu.io.writebackAfterMerge /
141  (fromExu zip wbReplaceVld).foreach { case (sink, source) =>
142    sink.valid := source.valid
143    sink.bits := source.bits
144    source.ready := sink.ready
145  }
146
147  // fromExu -> ArbiterInput
148  val intArbiterInputsWire = Wire(chiselTypeOf(fromExu))
149  val intArbiterInputsWireY = intArbiterInputsWire.filter(_.bits.params.writeIntRf)
150  val intArbiterInputsWireN = intArbiterInputsWire.filterNot(_.bits.params.writeIntRf)
151
152  val fpArbiterInputsWire = Wire(chiselTypeOf(fromExu))
153  val fpArbiterInputsWireY = fpArbiterInputsWire.filter(_.bits.params.writeFpRf)
154  val fpArbiterInputsWireN = fpArbiterInputsWire.filterNot(_.bits.params.writeFpRf)
155
156  val vfArbiterInputsWire = Wire(chiselTypeOf(fromExu))
157  val vfArbiterInputsWireY = vfArbiterInputsWire.filter(_.bits.params.writeVfRf)
158  val vfArbiterInputsWireN = vfArbiterInputsWire.filterNot(_.bits.params.writeVfRf)
159
160  val v0ArbiterInputsWire = Wire(chiselTypeOf(fromExu))
161  val v0ArbiterInputsWireY = v0ArbiterInputsWire.filter(_.bits.params.writeV0Rf)
162  val v0ArbiterInputsWireN = v0ArbiterInputsWire.filterNot(_.bits.params.writeV0Rf)
163
164  val vlArbiterInputsWire = Wire(chiselTypeOf(fromExu))
165  val vlArbiterInputsWireY = vlArbiterInputsWire.filter(_.bits.params.writeVlRf)
166  val vlArbiterInputsWireN = vlArbiterInputsWire.filterNot(_.bits.params.writeVlRf)
167
168  def acceptCond(exuOutput: ExuOutput): (Seq[Bool], Bool) = {
169    val intWen = exuOutput.intWen.getOrElse(false.B)
170    val fpwen  = exuOutput.fpWen.getOrElse(false.B)
171    val vecWen = exuOutput.vecWen.getOrElse(false.B)
172    val v0Wen  = exuOutput.v0Wen.getOrElse(false.B)
173    val vlWen  = exuOutput.vlWen.getOrElse(false.B)
174    (Seq(intWen, fpwen, vecWen, v0Wen, vlWen), !intWen && !fpwen && !vecWen && !v0Wen && !vlWen)
175  }
176
177  intArbiterInputsWire.zip(fpArbiterInputsWire).zip(vfArbiterInputsWire).zip(v0ArbiterInputsWire).zip(vlArbiterInputsWire).zip(fromExu).foreach {
178    case (((((intArbiterInput, fpArbiterInput), vfArbiterInput), v0ArbiterInput), vlArbiterInput), exuOut) =>
179      val writeCond = acceptCond(exuOut.bits)
180      val intWrite = Wire(Bool())
181      val fpWrite = Wire(Bool())
182      val vfWrite = Wire(Bool())
183      val v0Write = Wire(Bool())
184      val vlWrite = Wire(Bool())
185      val notWrite = Wire(Bool())
186
187      intWrite := exuOut.valid && writeCond._1(0)
188      fpWrite := exuOut.valid && writeCond._1(1)
189      vfWrite := exuOut.valid && writeCond._1(2)
190      v0Write := exuOut.valid && writeCond._1(3)
191      vlWrite := exuOut.valid && writeCond._1(4)
192      notWrite := writeCond._2
193
194      intArbiterInput.valid := intWrite
195      intArbiterInput.bits := exuOut.bits
196      fpArbiterInput.valid := fpWrite
197      fpArbiterInput.bits := exuOut.bits
198      vfArbiterInput.valid := vfWrite
199      vfArbiterInput.bits := exuOut.bits
200      v0ArbiterInput.valid := v0Write
201      v0ArbiterInput.bits := exuOut.bits
202      vlArbiterInput.valid := vlWrite
203      vlArbiterInput.bits := exuOut.bits
204
205      if (exuOut.bits.params.writeIntRf && exuOut.bits.params.isVfExeUnit) {
206        intWrite := RegNext(exuOut.valid && writeCond._1(0))
207        intArbiterInput.bits := RegEnable(exuOut.bits, exuOut.valid)
208      }
209
210      println(s"[WbDataPath] exu: ${exuOut.bits.params.exuIdx}, uncertain: ${exuOut.bits.params.hasUncertainLatency}, certain: ${exuOut.bits.params.latencyCertain}")
211
212      // only EXUs with uncertain latency need result of arbiter
213      // the result data can be maintained until getting success in arbiter
214      if (exuOut.bits.params.hasUncertainLatency) {
215        exuOut.ready := intArbiterInput.ready && intWrite || fpArbiterInput.ready && fpWrite || vfArbiterInput.ready && vfWrite || v0ArbiterInput.ready && v0Write || vlArbiterInput.ready && vlWrite || notWrite
216      } else {
217        exuOut.ready := true.B
218
219        // for EXUs with certain latency, if the request fails in arbiter, the result data will be permanently lost
220        when (intWrite) {
221          assert(intArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write int regfile\n")
222        }
223        when(fpWrite) {
224          assert(fpArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write fp regfile\n")
225        }
226        when (vfWrite) {
227          assert(vfArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write vf regfile\n")
228        }
229        when (v0Write) {
230          assert(v0ArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write v0 regfile\n")
231        }
232        when (vlWrite) {
233          assert(vlArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write vl regfile\n")
234        }
235      }
236      // the ports not writting back pregs are always ready
237      // the ports set highest priority are always ready
238      if (exuOut.bits.params.hasNoDataWB || exuOut.bits.params.isHighestWBPriority) {
239        exuOut.ready := true.B
240      }
241  }
242  intArbiterInputsWireN.foreach(_.ready := false.B)
243  fpArbiterInputsWireN.foreach(_.ready := false.B)
244  vfArbiterInputsWireN.foreach(_.ready := false.B)
245  v0ArbiterInputsWireN.foreach(_.ready := false.B)
246  vlArbiterInputsWireN.foreach(_.ready := false.B)
247
248  println(s"[WbDataPath] write int preg: " +
249    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeIntRf)}) " +
250    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeIntRf)}) " +
251    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeIntRf)}) " +
252    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeIntRf)})"
253  )
254  println(s"[WbDataPath] write fp preg: " +
255    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeFpRf)}) " +
256    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeFpRf)}) " +
257    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeFpRf)}) " +
258    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeFpRf)})"
259  )
260  println(s"[WbDataPath] write vf preg: " +
261    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeVfRf)}) " +
262    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeVfRf)}) " +
263    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeVfRf)}) " +
264    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeVfRf)})"
265  )
266  println(s"[WbDataPath] write v0 preg: " +
267    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeV0Rf)}) " +
268    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeV0Rf)}) " +
269    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeV0Rf)}) " +
270    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeV0Rf)})"
271  )
272  println(s"[WbDataPath] write vl preg: " +
273    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeVlRf)}) " +
274    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeVlRf)}) " +
275    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeVlRf)}) " +
276    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeVlRf)})"
277  )
278
279  // wb arbiter
280  private val intWbArbiter = Module(new RealWBCollideChecker(params.getIntWbArbiterParams))
281  private val fpWbArbiter = Module(new RealWBCollideChecker(params.getFpWbArbiterParams))
282  private val vfWbArbiter = Module(new RealWBCollideChecker(params.getVfWbArbiterParams))
283  private val v0WbArbiter = Module(new RealWBCollideChecker(params.getV0WbArbiterParams))
284  private val vlWbArbiter = Module(new RealWBCollideChecker(params.getVlWbArbiterParams))
285  println(s"[WbDataPath] int preg write back port num: ${intWbArbiter.io.out.size}, active port: ${intWbArbiter.io.inGroup.keys.toSeq.sorted}")
286  println(s"[WbDataPath] fp preg write back port num: ${fpWbArbiter.io.out.size}, active port: ${fpWbArbiter.io.inGroup.keys.toSeq.sorted}")
287  println(s"[WbDataPath] vf preg write back port num: ${vfWbArbiter.io.out.size}, active port: ${vfWbArbiter.io.inGroup.keys.toSeq.sorted}")
288  println(s"[WbDataPath] v0 preg write back port num: ${v0WbArbiter.io.out.size}, active port: ${v0WbArbiter.io.inGroup.keys.toSeq.sorted}")
289  println(s"[WbDataPath] vl preg write back port num: ${vlWbArbiter.io.out.size}, active port: ${vlWbArbiter.io.inGroup.keys.toSeq.sorted}")
290
291  // module assign
292  intWbArbiter.io.flush <> io.flush
293  require(intWbArbiter.io.in.size == intArbiterInputsWireY.size, s"intWbArbiter input size: ${intWbArbiter.io.in.size}, all int wb size: ${intArbiterInputsWireY.size}")
294  intWbArbiter.io.in.zip(intArbiterInputsWireY).foreach { case (arbiterIn, in) =>
295    arbiterIn.valid := in.valid && in.bits.intWen.get
296    in.ready := arbiterIn.ready
297    arbiterIn.bits.fromExuOutput(in.bits, "int")
298  }
299  private val intWbArbiterOut = intWbArbiter.io.out
300
301  fpWbArbiter.io.flush <> io.flush
302  require(fpWbArbiter.io.in.size == fpArbiterInputsWireY.size, s"fpWbArbiter input size: ${fpWbArbiter.io.in.size}, all fp wb size: ${fpArbiterInputsWireY.size}")
303  fpWbArbiter.io.in.zip(fpArbiterInputsWireY).foreach { case (arbiterIn, in) =>
304    arbiterIn.valid := in.valid && (in.bits.fpWen.getOrElse(false.B))
305    in.ready := arbiterIn.ready
306    arbiterIn.bits.fromExuOutput(in.bits, "fp")
307  }
308  private val fpWbArbiterOut = fpWbArbiter.io.out
309
310  vfWbArbiter.io.flush <> io.flush
311  require(vfWbArbiter.io.in.size == vfArbiterInputsWireY.size, s"vfWbArbiter input size: ${vfWbArbiter.io.in.size}, all vf wb size: ${vfArbiterInputsWireY.size}")
312  vfWbArbiter.io.in.zip(vfArbiterInputsWireY).foreach { case (arbiterIn, in) =>
313    arbiterIn.valid := in.valid && (in.bits.vecWen.getOrElse(false.B))
314    in.ready := arbiterIn.ready
315    arbiterIn.bits.fromExuOutput(in.bits, "vf")
316  }
317  private val vfWbArbiterOut = vfWbArbiter.io.out
318
319  v0WbArbiter.io.flush <> io.flush
320  require(v0WbArbiter.io.in.size == v0ArbiterInputsWireY.size, s"v0WbArbiter input size: ${v0WbArbiter.io.in.size}, all v0 wb size: ${v0ArbiterInputsWireY.size}")
321  v0WbArbiter.io.in.zip(v0ArbiterInputsWireY).foreach { case (arbiterIn, in) =>
322    arbiterIn.valid := in.valid && (in.bits.v0Wen.getOrElse(false.B))
323    in.ready := arbiterIn.ready
324    arbiterIn.bits.fromExuOutput(in.bits, "v0")
325  }
326  private val v0WbArbiterOut = v0WbArbiter.io.out
327
328  vlWbArbiter.io.flush <> io.flush
329  require(vlWbArbiter.io.in.size == vlArbiterInputsWireY.size, s"vlWbArbiter input size: ${vlWbArbiter.io.in.size}, all vl wb size: ${vlArbiterInputsWireY.size}")
330  vlWbArbiter.io.in.zip(vlArbiterInputsWireY).foreach { case (arbiterIn, in) =>
331    arbiterIn.valid := in.valid && (in.bits.vlWen.getOrElse(false.B))
332    in.ready := arbiterIn.ready
333    arbiterIn.bits.fromExuOutput(in.bits, "vl")
334  }
335  private val vlWbArbiterOut = vlWbArbiter.io.out
336
337  // WB -> CtrlBlock
338  private val intExuInputs = io.fromIntExu.flatten.toSeq
339  private val intExuWBs = WireInit(MixedVecInit(intExuInputs))
340  private val fpExuInputs = io.fromFpExu.flatten.toSeq
341  private val fpExuWBs = WireInit(MixedVecInit(fpExuInputs))
342  private val vfExuInputs = io.fromVfExu.flatten.toSeq
343  private val vfExuWBs = WireInit(MixedVecInit(vfExuInputs))
344  private val memExuInputs = io.fromMemExu.flatten.toSeq
345  private val memExuWBs = WireInit(MixedVecInit(memExuInputs))
346
347  // only fired port can write back to ctrl block
348  (intExuWBs zip intExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
349  (fpExuWBs zip fpExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
350  (vfExuWBs zip vfExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
351  (memExuWBs zip memExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
352
353  // io assign
354  private val toIntPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(intWbArbiterOut.map(x => x.bits.asIntRfWriteBundle(x.fire)).toSeq)
355  private val toFpPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(fpWbArbiterOut.map(x => x.bits.asFpRfWriteBundle(x.fire)).toSeq)
356  private val toVfPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(vfWbArbiterOut.map(x => x.bits.asVfRfWriteBundle(x.fire)).toSeq)
357  private val toV0Preg: MixedVec[RfWritePortWithConfig] = MixedVecInit(v0WbArbiterOut.map(x => x.bits.asV0RfWriteBundle(x.fire)).toSeq)
358  private val toVlPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(vlWbArbiterOut.map(x => x.bits.asVlRfWriteBundle(x.fire)).toSeq)
359
360  private val wb2Ctrl = intExuWBs ++ fpExuWBs ++ vfExuWBs ++ memExuWBs
361
362  io.toIntPreg := toIntPreg
363  io.toFpPreg := toFpPreg
364  io.toVfPreg := toVfPreg
365  io.toV0Preg := toV0Preg
366  io.toVlPreg := toVlPreg
367  io.toCtrlBlock.writeback.zip(wb2Ctrl).foreach { case (sink, source) =>
368    sink.valid := source.valid
369    sink.bits := source.bits
370    source.ready := true.B
371  }
372
373  // debug
374  if(backendParams.debugEn) {
375    dontTouch(intArbiterInputsWire)
376    dontTouch(fpArbiterInputsWire)
377    dontTouch(vfArbiterInputsWire)
378    dontTouch(v0ArbiterInputsWire)
379    dontTouch(vlArbiterInputsWire)
380  }
381
382  // difftest
383  if (env.EnableDifftest || env.AlwaysBasicDiff) {
384    intWbArbiterOut.foreach(out => {
385      val difftest = DifftestModule(new DiffIntWriteback(IntPhyRegs))
386      difftest.coreid := io.fromTop.hartId
387      difftest.valid := out.fire && out.bits.rfWen
388      difftest.address := out.bits.pdest
389      difftest.data := out.bits.data
390    })
391  }
392
393  if (env.EnableDifftest || env.AlwaysBasicDiff) {
394    fpWbArbiterOut.foreach(out => {
395      val difftest = DifftestModule(new DiffFpWriteback(FpPhyRegs))
396      difftest.coreid := io.fromTop.hartId
397      difftest.valid := out.fire // all fp instr will write fp rf
398      difftest.address := out.bits.pdest
399      difftest.data := out.bits.data
400    })
401  }
402
403  if (env.EnableDifftest || env.AlwaysBasicDiff) {
404    vfWbArbiterOut.foreach(out => {
405      val difftest = DifftestModule(new DiffVecWriteback(VfPhyRegs))
406      difftest.coreid := io.fromTop.hartId
407      difftest.valid := out.fire
408      difftest.address := out.bits.pdest
409      difftest.data := out.bits.data
410    })
411  }
412}
413
414
415
416
417