xref: /XiangShan/src/main/scala/xiangshan/backend/datapath/WbArbiter.scala (revision a66aed53b6d07f1f8e3a3ccc706f49f50cd2a309)
1730cfbc0SXuan Hupackage xiangshan.backend.datapath
2730cfbc0SXuan Hu
383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
4730cfbc0SXuan Huimport chisel3._
5730cfbc0SXuan Huimport chisel3.util._
683ba63b3SXuan Huimport difftest.{DiffFpWriteback, DiffIntWriteback, DifftestModule}
7b08b7dc3Sfdyimport utils.XSError
8730cfbc0SXuan Huimport xiangshan.backend.BackendParams
9730cfbc0SXuan Huimport xiangshan.backend.Bundles.{ExuOutput, WriteBackBundle}
1039c59369SXuan Huimport xiangshan.backend.datapath.DataConfig.{IntData, VecData}
11730cfbc0SXuan Huimport xiangshan.backend.regfile.RfWritePortWithConfig
12730cfbc0SXuan Huimport xiangshan.{Redirect, XSBundle, XSModule}
13730cfbc0SXuan Hu
14b08b7dc3Sfdyclass WbArbiterDispatcherIO[T <: Data](private val gen: T, n: Int) extends Bundle {
15b08b7dc3Sfdy  val in = Flipped(DecoupledIO(gen))
16b08b7dc3Sfdy
17b08b7dc3Sfdy  val out = Vec(n, DecoupledIO(gen))
18b08b7dc3Sfdy}
19b08b7dc3Sfdy
20b08b7dc3Sfdyclass WbArbiterDispatcher[T <: Data](private val gen: T, n: Int, acceptCond: T => Seq[Bool])
21b08b7dc3Sfdy                           (implicit p: Parameters)
22b08b7dc3Sfdy  extends Module {
23b08b7dc3Sfdy
24b08b7dc3Sfdy  val io = IO(new WbArbiterDispatcherIO(gen, n))
25b08b7dc3Sfdy
26b08b7dc3Sfdy  private val acceptVec: Vec[Bool] = VecInit(acceptCond(io.in.bits))
27b08b7dc3Sfdy
2839c59369SXuan Hu  XSError(io.in.valid && PopCount(acceptVec) > 1.U, s"[ExeUnit] accept vec should no more than 1, ${Binary(acceptVec.asUInt)} ")
29b08b7dc3Sfdy
30b08b7dc3Sfdy  io.out.zipWithIndex.foreach { case (out, i) =>
31b08b7dc3Sfdy    out.valid := acceptVec(i) && io.in.valid
32b08b7dc3Sfdy    out.bits := io.in.bits
33b08b7dc3Sfdy  }
34b08b7dc3Sfdy
35b08b7dc3Sfdy  io.in.ready := Cat(io.out.zip(acceptVec).map{ case(out, canAccept) => out.ready && canAccept}).orR
36b08b7dc3Sfdy}
37b08b7dc3Sfdy
38730cfbc0SXuan Huclass WbArbiterIO()(implicit p: Parameters, params: WbArbiterParams) extends XSBundle {
39730cfbc0SXuan Hu  val flush = Flipped(ValidIO(new Redirect))
40730cfbc0SXuan Hu  val in: MixedVec[DecoupledIO[WriteBackBundle]] = Flipped(params.genInput)
41730cfbc0SXuan Hu  val out: MixedVec[ValidIO[WriteBackBundle]] = params.genOutput
42730cfbc0SXuan Hu
4383ba63b3SXuan Hu  def inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = in.groupBy(_.bits.params.port).map(x => (x._1, x._2.sortBy(_.bits.params.priority).toSeq))
44730cfbc0SXuan Hu}
45730cfbc0SXuan Hu
46730cfbc0SXuan Huclass WbArbiter(params: WbArbiterParams)(implicit p: Parameters) extends XSModule {
47730cfbc0SXuan Hu  val io = IO(new WbArbiterIO()(p, params))
48bcf0356aSXuan Hu
4983ba63b3SXuan Hu  private val inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = io.inGroup
50730cfbc0SXuan Hu
51730cfbc0SXuan Hu  private val arbiters: Seq[Option[Arbiter[WriteBackBundle]]] = Seq.tabulate(params.numOut) { x => {
52730cfbc0SXuan Hu    if (inGroup.contains(x)) {
5339c59369SXuan Hu      Some(Module(new Arbiter(new WriteBackBundle(inGroup.values.head.head.bits.params, backendParams), inGroup(x).length)))
54730cfbc0SXuan Hu    } else {
55730cfbc0SXuan Hu      None
56730cfbc0SXuan Hu    }
57730cfbc0SXuan Hu  }}
58730cfbc0SXuan Hu
59730cfbc0SXuan Hu  arbiters.zipWithIndex.foreach { case (arb, i) =>
60730cfbc0SXuan Hu    if (arb.nonEmpty) {
61730cfbc0SXuan Hu      arb.get.io.in.zip(inGroup(i)).foreach { case (arbIn, wbIn) =>
62730cfbc0SXuan Hu        arbIn <> wbIn
63730cfbc0SXuan Hu      }
64730cfbc0SXuan Hu    }
65730cfbc0SXuan Hu  }
66730cfbc0SXuan Hu
67730cfbc0SXuan Hu  io.out.zip(arbiters).foreach { case (wbOut, arb) =>
68730cfbc0SXuan Hu    if (arb.nonEmpty) {
69730cfbc0SXuan Hu      val arbOut = arb.get.io.out
70730cfbc0SXuan Hu      arbOut.ready := true.B
71730cfbc0SXuan Hu      wbOut.valid := arbOut.valid
72730cfbc0SXuan Hu      wbOut.bits := arbOut.bits
73730cfbc0SXuan Hu    } else {
74730cfbc0SXuan Hu      wbOut := 0.U.asTypeOf(wbOut)
75730cfbc0SXuan Hu    }
76730cfbc0SXuan Hu  }
77730cfbc0SXuan Hu
78730cfbc0SXuan Hu  def getInOutMap: Map[Int, Int] = {
79730cfbc0SXuan Hu    (params.wbCfgs.indices zip params.wbCfgs.map(_.port)).toMap
80730cfbc0SXuan Hu  }
81730cfbc0SXuan Hu}
82730cfbc0SXuan Hu
83730cfbc0SXuan Huclass WbDataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle {
84730cfbc0SXuan Hu  val flush = Flipped(ValidIO(new Redirect()))
85730cfbc0SXuan Hu
86730cfbc0SXuan Hu  val fromTop = new Bundle {
87730cfbc0SXuan Hu    val hartId = Input(UInt(8.W))
88730cfbc0SXuan Hu  }
89730cfbc0SXuan Hu
90730cfbc0SXuan Hu  val fromIntExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.intSchdParams.get.genExuOutputDecoupledBundle)
91730cfbc0SXuan Hu
92730cfbc0SXuan Hu  val fromVfExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.vfSchdParams.get.genExuOutputDecoupledBundle)
93730cfbc0SXuan Hu
94730cfbc0SXuan Hu  val fromMemExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.memSchdParams.get.genExuOutputDecoupledBundle)
95730cfbc0SXuan Hu
9639c59369SXuan Hu  val toIntPreg = Flipped(MixedVec(Vec(params.numPregWb(IntData()),
97730cfbc0SXuan Hu    new RfWritePortWithConfig(params.intPregParams.dataCfg, params.intPregParams.addrWidth))))
98730cfbc0SXuan Hu
9939c59369SXuan Hu  val toVfPreg = Flipped(MixedVec(Vec(params.numPregWb(VecData()),
100730cfbc0SXuan Hu    new RfWritePortWithConfig(params.vfPregParams.dataCfg, params.vfPregParams.addrWidth))))
101730cfbc0SXuan Hu
102730cfbc0SXuan Hu  val toCtrlBlock = new Bundle {
103730cfbc0SXuan Hu    val writeback: MixedVec[ValidIO[ExuOutput]] = params.genWrite2CtrlBundles
104730cfbc0SXuan Hu  }
105730cfbc0SXuan Hu}
106730cfbc0SXuan Hu
107730cfbc0SXuan Huclass WbDataPath(params: BackendParams)(implicit p: Parameters) extends XSModule {
108730cfbc0SXuan Hu  val io = IO(new WbDataPathIO()(p, params))
109730cfbc0SXuan Hu
110730cfbc0SXuan Hu  // alias
11183ba63b3SXuan Hu  val fromExu = (io.fromIntExu ++ io.fromVfExu ++ io.fromMemExu).flatten.toSeq
112b08b7dc3Sfdy  val intArbiterInputsWire = WireInit(MixedVecInit(fromExu))
113b08b7dc3Sfdy  val intArbiterInputsWireY = intArbiterInputsWire.filter(_.bits.params.writeIntRf)
114b08b7dc3Sfdy  val intArbiterInputsWireN = intArbiterInputsWire.filterNot(_.bits.params.writeIntRf)
115b08b7dc3Sfdy  val vfArbiterInputsWire = WireInit(MixedVecInit(fromExu))
116b08b7dc3Sfdy  val vfArbiterInputsWireY = vfArbiterInputsWire.filter(_.bits.params.writeVfRf)
117b08b7dc3Sfdy  val vfArbiterInputsWireN = vfArbiterInputsWire.filterNot(_.bits.params.writeVfRf)
118b08b7dc3Sfdy
119b08b7dc3Sfdy  def acceptCond(exuOutput: ExuOutput): Seq[Bool] = {
120b08b7dc3Sfdy    val intWen = if(exuOutput.intWen.isDefined) exuOutput.intWen.get else false.B
121b08b7dc3Sfdy    val fpwen  = if(exuOutput.fpWen.isDefined) exuOutput.fpWen.get else false.B
122b08b7dc3Sfdy    val vecWen = if(exuOutput.vecWen.isDefined) exuOutput.vecWen.get else false.B
123b08b7dc3Sfdy    Seq(intWen, fpwen || vecWen)
124b08b7dc3Sfdy  }
125b08b7dc3Sfdy
126b08b7dc3Sfdy  fromExu.zip(intArbiterInputsWire.zip(vfArbiterInputsWire))map{
127b08b7dc3Sfdy    case (exuOut, (intArbiterInput, vfArbiterInput)) =>
128b08b7dc3Sfdy      val regfilesTypeNum = params.pregParams.size
129b08b7dc3Sfdy      val in1ToN = Module(new WbArbiterDispatcher(new ExuOutput(exuOut.bits.params), regfilesTypeNum, acceptCond))
130b08b7dc3Sfdy      in1ToN.io.in.valid := exuOut.valid
131b08b7dc3Sfdy      in1ToN.io.in.bits := exuOut.bits
132b08b7dc3Sfdy      exuOut.ready := in1ToN.io.in.ready
133b08b7dc3Sfdy      in1ToN.io.out.zip(MixedVecInit(intArbiterInput, vfArbiterInput)).foreach { case (source, sink) =>
134b08b7dc3Sfdy        sink.valid := source.valid
135b08b7dc3Sfdy        sink.bits := source.bits
136b08b7dc3Sfdy        source.ready := sink.ready
137b08b7dc3Sfdy      }
138b08b7dc3Sfdy  }
139b08b7dc3Sfdy  intArbiterInputsWireN.foreach(_.ready := false.B)
140b08b7dc3Sfdy  vfArbiterInputsWireN.foreach(_.ready := false.B)
141b08b7dc3Sfdy
142730cfbc0SXuan Hu  println(s"[WbDataPath] write int preg: " +
143730cfbc0SXuan Hu    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeIntRf)}) " +
144730cfbc0SXuan Hu    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeIntRf)}) " +
145730cfbc0SXuan Hu    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeIntRf)})"
146730cfbc0SXuan Hu  )
147730cfbc0SXuan Hu  println(s"[WbDataPath] write vf preg: " +
148730cfbc0SXuan Hu    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeVfRf)}) " +
149730cfbc0SXuan Hu    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeVfRf)}) " +
150730cfbc0SXuan Hu    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeVfRf)})"
151730cfbc0SXuan Hu  )
152730cfbc0SXuan Hu
153730cfbc0SXuan Hu  // modules
154730cfbc0SXuan Hu  private val intWbArbiter = Module(new WbArbiter(params.getIntWbArbiterParams))
155730cfbc0SXuan Hu  private val vfWbArbiter = Module(new WbArbiter(params.getVfWbArbiterParams))
156730cfbc0SXuan Hu  println(s"[WbDataPath] int preg write back port num: ${intWbArbiter.io.out.size}, active port: ${intWbArbiter.io.inGroup.keys.toSeq.sorted}")
157730cfbc0SXuan Hu  println(s"[WbDataPath] vf preg write back port num: ${vfWbArbiter.io.out.size}, active port: ${vfWbArbiter.io.inGroup.keys.toSeq.sorted}")
158730cfbc0SXuan Hu
159730cfbc0SXuan Hu  // module assign
160730cfbc0SXuan Hu  intWbArbiter.io.flush <> io.flush
161b08b7dc3Sfdy  require(intWbArbiter.io.in.size == intArbiterInputsWireY.size, s"intWbArbiter input size: ${intWbArbiter.io.in.size}, all vf wb size: ${intArbiterInputsWireY.size}")
162b08b7dc3Sfdy  intWbArbiter.io.in.zip(intArbiterInputsWireY).foreach { case (arbiterIn, in) =>
1635c5405a5SXuan Hu    arbiterIn.valid := in.valid && in.bits.intWen.get
164730cfbc0SXuan Hu    in.ready := arbiterIn.ready
165730cfbc0SXuan Hu    arbiterIn.bits.fromExuOutput(in.bits)
166730cfbc0SXuan Hu  }
167730cfbc0SXuan Hu  private val intWbArbiterOut = intWbArbiter.io.out
168730cfbc0SXuan Hu
169730cfbc0SXuan Hu  vfWbArbiter.io.flush <> io.flush
170b08b7dc3Sfdy  require(vfWbArbiter.io.in.size == vfArbiterInputsWireY.size, s"vfWbArbiter input size: ${vfWbArbiter.io.in.size}, all vf wb size: ${vfArbiterInputsWireY.size}")
171b08b7dc3Sfdy  vfWbArbiter.io.in.zip(vfArbiterInputsWireY).foreach { case (arbiterIn, in) =>
1725c5405a5SXuan Hu    arbiterIn.valid := in.valid && (in.bits.fpWen.getOrElse(false.B) || in.bits.vecWen.getOrElse(false.B))
173730cfbc0SXuan Hu    in.ready := arbiterIn.ready
174730cfbc0SXuan Hu    arbiterIn.bits.fromExuOutput(in.bits)
175730cfbc0SXuan Hu  }
176730cfbc0SXuan Hu
177730cfbc0SXuan Hu  private val vfWbArbiterOut = vfWbArbiter.io.out
178730cfbc0SXuan Hu
17983ba63b3SXuan Hu  private val intExuInputs = io.fromIntExu.flatten.toSeq
18083ba63b3SXuan Hu  private val intExuWBs = WireInit(MixedVecInit(intExuInputs))
18183ba63b3SXuan Hu  private val vfExuInputs = io.fromVfExu.flatten.toSeq
18283ba63b3SXuan Hu  private val vfExuWBs = WireInit(MixedVecInit(vfExuInputs))
18383ba63b3SXuan Hu  private val memExuInputs = io.fromMemExu.flatten.toSeq
18483ba63b3SXuan Hu  private val memExuWBs = WireInit(MixedVecInit(memExuInputs))
185730cfbc0SXuan Hu
186730cfbc0SXuan Hu  // only fired port can write back to ctrl block
187730cfbc0SXuan Hu  (intExuWBs zip intExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
188730cfbc0SXuan Hu  (vfExuWBs zip vfExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
189730cfbc0SXuan Hu  (memExuWBs zip memExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
190730cfbc0SXuan Hu
191730cfbc0SXuan Hu  // the ports not writting back pregs are always ready
192bcf0356aSXuan Hu  // the ports set highest priority are always ready
193730cfbc0SXuan Hu  (intExuInputs ++ vfExuInputs ++ memExuInputs).foreach( x =>
194bcf0356aSXuan Hu    if (x.bits.params.hasNoDataWB || x.bits.params.isHighestWBPriority) x.ready := true.B
195730cfbc0SXuan Hu  )
196730cfbc0SXuan Hu
197730cfbc0SXuan Hu  // io assign
19883ba63b3SXuan Hu  private val toIntPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(intWbArbiterOut.map(x => x.bits.asIntRfWriteBundle(x.fire)).toSeq)
19983ba63b3SXuan Hu  private val toVfPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(vfWbArbiterOut.map(x => x.bits.asVfRfWriteBundle(x.fire)).toSeq)
200730cfbc0SXuan Hu
201730cfbc0SXuan Hu  private val wb2Ctrl = intExuWBs ++ vfExuWBs ++ memExuWBs
202730cfbc0SXuan Hu
203730cfbc0SXuan Hu  io.toIntPreg := toIntPreg
204730cfbc0SXuan Hu  io.toVfPreg := toVfPreg
205730cfbc0SXuan Hu  io.toCtrlBlock.writeback.zip(wb2Ctrl).foreach { case (sink, source) =>
206730cfbc0SXuan Hu    sink.valid := source.valid
207730cfbc0SXuan Hu    sink.bits := source.bits
208730cfbc0SXuan Hu    source.ready := true.B
209730cfbc0SXuan Hu  }
210730cfbc0SXuan Hu
211730cfbc0SXuan Hu  if (env.EnableDifftest || env.AlwaysBasicDiff) {
212730cfbc0SXuan Hu    intWbArbiterOut.foreach(out => {
213*a66aed53SXuan Hu      val difftest = DifftestModule(new DiffIntWriteback(IntPhyRegs))
21483ba63b3SXuan Hu      difftest.coreid := io.fromTop.hartId
21583ba63b3SXuan Hu      difftest.valid := out.fire && out.bits.rfWen
21683ba63b3SXuan Hu      difftest.address := out.bits.pdest
21783ba63b3SXuan Hu      difftest.data := out.bits.data
218730cfbc0SXuan Hu    })
219730cfbc0SXuan Hu  }
220730cfbc0SXuan Hu
221730cfbc0SXuan Hu  if (env.EnableDifftest || env.AlwaysBasicDiff) {
222730cfbc0SXuan Hu    vfWbArbiterOut.foreach(out => {
223*a66aed53SXuan Hu      val difftest = DifftestModule(new DiffFpWriteback(VfPhyRegs))
22483ba63b3SXuan Hu      difftest.coreid := io.fromTop.hartId
22583ba63b3SXuan Hu      difftest.valid := out.fire // all fp instr will write fp rf
22683ba63b3SXuan Hu      difftest.address := out.bits.pdest
22783ba63b3SXuan Hu      difftest.data := out.bits.data
228730cfbc0SXuan Hu    })
229730cfbc0SXuan Hu  }
230730cfbc0SXuan Hu
231730cfbc0SXuan Hu}
232730cfbc0SXuan Hu
233730cfbc0SXuan Hu
234730cfbc0SXuan Hu
235730cfbc0SXuan Hu
236