xref: /XiangShan/src/main/scala/xiangshan/backend/datapath/WbArbiter.scala (revision a4d1b2d1ae4c6149f55fbcac48749c08714bfe0c)
1730cfbc0SXuan Hupackage xiangshan.backend.datapath
2730cfbc0SXuan Hu
383ba63b3SXuan Huimport org.chipsalliance.cde.config.Parameters
4730cfbc0SXuan Huimport chisel3._
5730cfbc0SXuan Huimport chisel3.util._
660f0c5aeSxiaofeibaoimport difftest.{DiffFpWriteback, DiffIntWriteback, DifftestModule, DiffVecWriteback}
7b08b7dc3Sfdyimport utils.XSError
8730cfbc0SXuan Huimport xiangshan.backend.BackendParams
9730cfbc0SXuan Huimport xiangshan.backend.Bundles.{ExuOutput, WriteBackBundle}
1060f0c5aeSxiaofeibaoimport xiangshan.backend.datapath.DataConfig.{IntData, VecData, FpData}
11730cfbc0SXuan Huimport xiangshan.backend.regfile.RfWritePortWithConfig
12730cfbc0SXuan Huimport xiangshan.{Redirect, XSBundle, XSModule}
13730cfbc0SXuan Hu
14b08b7dc3Sfdyclass WbArbiterDispatcherIO[T <: Data](private val gen: T, n: Int) extends Bundle {
15b08b7dc3Sfdy  val in = Flipped(DecoupledIO(gen))
16b08b7dc3Sfdy
17b08b7dc3Sfdy  val out = Vec(n, DecoupledIO(gen))
18b08b7dc3Sfdy}
19b08b7dc3Sfdy
20c1e19666Sxiaofeibao-xjtuclass WbArbiterDispatcher[T <: Data](private val gen: T, n: Int, acceptCond: T => (Seq[Bool], Bool))
21b08b7dc3Sfdy                           (implicit p: Parameters)
22b08b7dc3Sfdy  extends Module {
23b08b7dc3Sfdy
24b08b7dc3Sfdy  val io = IO(new WbArbiterDispatcherIO(gen, n))
25b08b7dc3Sfdy
26c1e19666Sxiaofeibao-xjtu  private val acceptVec: Vec[Bool] = VecInit(acceptCond(io.in.bits)._1)
27b08b7dc3Sfdy
2839c59369SXuan Hu  XSError(io.in.valid && PopCount(acceptVec) > 1.U, s"[ExeUnit] accept vec should no more than 1, ${Binary(acceptVec.asUInt)} ")
29b08b7dc3Sfdy
30b08b7dc3Sfdy  io.out.zipWithIndex.foreach { case (out, i) =>
31b08b7dc3Sfdy    out.valid := acceptVec(i) && io.in.valid
32b08b7dc3Sfdy    out.bits := io.in.bits
33b08b7dc3Sfdy  }
34b08b7dc3Sfdy
35c1e19666Sxiaofeibao-xjtu  io.in.ready := Cat(io.out.zip(acceptVec).map{ case(out, canAccept) => out.ready && canAccept}).orR || acceptCond(io.in.bits)._2
36b08b7dc3Sfdy}
37b08b7dc3Sfdy
38730cfbc0SXuan Huclass WbArbiterIO()(implicit p: Parameters, params: WbArbiterParams) extends XSBundle {
39730cfbc0SXuan Hu  val flush = Flipped(ValidIO(new Redirect))
40730cfbc0SXuan Hu  val in: MixedVec[DecoupledIO[WriteBackBundle]] = Flipped(params.genInput)
41730cfbc0SXuan Hu  val out: MixedVec[ValidIO[WriteBackBundle]] = params.genOutput
42730cfbc0SXuan Hu
4383ba63b3SXuan Hu  def inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = in.groupBy(_.bits.params.port).map(x => (x._1, x._2.sortBy(_.bits.params.priority).toSeq))
44730cfbc0SXuan Hu}
45730cfbc0SXuan Hu
46730cfbc0SXuan Huclass WbArbiter(params: WbArbiterParams)(implicit p: Parameters) extends XSModule {
47730cfbc0SXuan Hu  val io = IO(new WbArbiterIO()(p, params))
48bcf0356aSXuan Hu
4983ba63b3SXuan Hu  private val inGroup: Map[Int, Seq[DecoupledIO[WriteBackBundle]]] = io.inGroup
50730cfbc0SXuan Hu
5147af51e7Ssinsanction  private val arbiters: Seq[Option[RealWBArbiter[WriteBackBundle]]] = Seq.tabulate(params.numOut) { x => {
52730cfbc0SXuan Hu    if (inGroup.contains(x)) {
5347af51e7Ssinsanction      Some(Module(new RealWBArbiter(new WriteBackBundle(inGroup.values.head.head.bits.params, backendParams), inGroup(x).length)))
54730cfbc0SXuan Hu    } else {
55730cfbc0SXuan Hu      None
56730cfbc0SXuan Hu    }
57730cfbc0SXuan Hu  }}
58730cfbc0SXuan Hu
59730cfbc0SXuan Hu  arbiters.zipWithIndex.foreach { case (arb, i) =>
60730cfbc0SXuan Hu    if (arb.nonEmpty) {
61730cfbc0SXuan Hu      arb.get.io.in.zip(inGroup(i)).foreach { case (arbIn, wbIn) =>
62730cfbc0SXuan Hu        arbIn <> wbIn
63730cfbc0SXuan Hu      }
64730cfbc0SXuan Hu    }
65730cfbc0SXuan Hu  }
66730cfbc0SXuan Hu
67730cfbc0SXuan Hu  io.out.zip(arbiters).foreach { case (wbOut, arb) =>
68730cfbc0SXuan Hu    if (arb.nonEmpty) {
69730cfbc0SXuan Hu      val arbOut = arb.get.io.out
70730cfbc0SXuan Hu      arbOut.ready := true.B
71730cfbc0SXuan Hu      wbOut.valid := arbOut.valid
72730cfbc0SXuan Hu      wbOut.bits := arbOut.bits
73730cfbc0SXuan Hu    } else {
74730cfbc0SXuan Hu      wbOut := 0.U.asTypeOf(wbOut)
75730cfbc0SXuan Hu    }
76730cfbc0SXuan Hu  }
77730cfbc0SXuan Hu
78730cfbc0SXuan Hu  def getInOutMap: Map[Int, Int] = {
79730cfbc0SXuan Hu    (params.wbCfgs.indices zip params.wbCfgs.map(_.port)).toMap
80730cfbc0SXuan Hu  }
81730cfbc0SXuan Hu}
82730cfbc0SXuan Hu
83730cfbc0SXuan Huclass WbDataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle {
84730cfbc0SXuan Hu  val flush = Flipped(ValidIO(new Redirect()))
85730cfbc0SXuan Hu
86730cfbc0SXuan Hu  val fromTop = new Bundle {
87730cfbc0SXuan Hu    val hartId = Input(UInt(8.W))
88730cfbc0SXuan Hu  }
89730cfbc0SXuan Hu
90730cfbc0SXuan Hu  val fromIntExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.intSchdParams.get.genExuOutputDecoupledBundle)
91730cfbc0SXuan Hu
9260f0c5aeSxiaofeibao  val fromFpExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.fpSchdParams.get.genExuOutputDecoupledBundle)
9360f0c5aeSxiaofeibao
94730cfbc0SXuan Hu  val fromVfExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.vfSchdParams.get.genExuOutputDecoupledBundle)
95730cfbc0SXuan Hu
96730cfbc0SXuan Hu  val fromMemExu: MixedVec[MixedVec[DecoupledIO[ExuOutput]]] = Flipped(params.memSchdParams.get.genExuOutputDecoupledBundle)
97730cfbc0SXuan Hu
9839c59369SXuan Hu  val toIntPreg = Flipped(MixedVec(Vec(params.numPregWb(IntData()),
99730cfbc0SXuan Hu    new RfWritePortWithConfig(params.intPregParams.dataCfg, params.intPregParams.addrWidth))))
100730cfbc0SXuan Hu
10160f0c5aeSxiaofeibao  val toFpPreg = Flipped(MixedVec(Vec(params.numPregWb(FpData()),
10260f0c5aeSxiaofeibao    new RfWritePortWithConfig(params.fpPregParams.dataCfg, params.fpPregParams.addrWidth))))
10360f0c5aeSxiaofeibao
10439c59369SXuan Hu  val toVfPreg = Flipped(MixedVec(Vec(params.numPregWb(VecData()),
105730cfbc0SXuan Hu    new RfWritePortWithConfig(params.vfPregParams.dataCfg, params.vfPregParams.addrWidth))))
106730cfbc0SXuan Hu
107730cfbc0SXuan Hu  val toCtrlBlock = new Bundle {
108730cfbc0SXuan Hu    val writeback: MixedVec[ValidIO[ExuOutput]] = params.genWrite2CtrlBundles
109730cfbc0SXuan Hu  }
110730cfbc0SXuan Hu}
111730cfbc0SXuan Hu
112730cfbc0SXuan Huclass WbDataPath(params: BackendParams)(implicit p: Parameters) extends XSModule {
113730cfbc0SXuan Hu  val io = IO(new WbDataPathIO()(p, params))
114730cfbc0SXuan Hu
115e703da02SzhanglyGit  // split
116*a4d1b2d1Sgood-circle  val fromExuPre = collection.mutable.Seq() ++ (io.fromIntExu ++ io.fromFpExu ++ io.fromVfExu ++ io.fromMemExu).flatten
1171f3d1b4dSXuan Hu  val fromExuVld: Seq[DecoupledIO[ExuOutput]] = fromExuPre.filter(_.bits.params.hasVLoadFu).toSeq
118b7c799beSzhanglyGit  val vldMgu: Seq[VldMergeUnit] = fromExuVld.map(x => Module(new VldMergeUnit(x.bits.params)))
119b7c799beSzhanglyGit  vldMgu.zip(fromExuVld).foreach{ case (mgu, exu) =>
120b7c799beSzhanglyGit    mgu.io.flush := io.flush
121b7c799beSzhanglyGit    mgu.io.writeback <> exu
122b7c799beSzhanglyGit  }
123b7c799beSzhanglyGit  val wbReplaceVld = fromExuPre
124b7c799beSzhanglyGit  val vldIdx: Seq[Int] = vldMgu.map(x => fromExuPre.indexWhere(_.bits.params == x.params))
125b7c799beSzhanglyGit  println("vldIdx: " + vldIdx)
126b7c799beSzhanglyGit  vldIdx.zip(vldMgu).foreach{ case (id, wb) =>
127b7c799beSzhanglyGit    wbReplaceVld.update(id, wb.io.writebackAfterMerge)
128b7c799beSzhanglyGit  }
129b7c799beSzhanglyGit  val fromExu = Wire(chiselTypeOf(MixedVecInit(wbReplaceVld.toSeq)))
13046908ecfSXuan Hu
13146908ecfSXuan Hu  // io.fromExuPre ------------------------------------------------------------> fromExu
13246908ecfSXuan Hu  //               \                                                         /
13346908ecfSXuan Hu  //                -> vldMgu.io.writeback -> vldMgu.io.writebackAfterMerge /
1346d11c058Ssinsanction  (fromExu zip wbReplaceVld).foreach { case (sink, source) =>
1356d11c058Ssinsanction    sink.valid := source.valid
1366d11c058Ssinsanction    sink.bits := source.bits
1376d11c058Ssinsanction    source.ready := sink.ready
1386d11c058Ssinsanction  }
13946908ecfSXuan Hu
1406d11c058Ssinsanction  // fromExu -> ArbiterInput
141c1e19666Sxiaofeibao-xjtu  val intArbiterInputsWire = Wire(chiselTypeOf(fromExu))
1426d11c058Ssinsanction  val intArbiterInputsWireY = intArbiterInputsWire.filter(_.bits.params.writeIntRf)
1436d11c058Ssinsanction  val intArbiterInputsWireN = intArbiterInputsWire.filterNot(_.bits.params.writeIntRf)
1446d11c058Ssinsanction
14560f0c5aeSxiaofeibao  val fpArbiterInputsWire = Wire(chiselTypeOf(fromExu))
14660f0c5aeSxiaofeibao  val fpArbiterInputsWireY = fpArbiterInputsWire.filter(_.bits.params.writeFpRf)
14760f0c5aeSxiaofeibao  val fpArbiterInputsWireN = fpArbiterInputsWire.filterNot(_.bits.params.writeFpRf)
14860f0c5aeSxiaofeibao
1496d11c058Ssinsanction  val vfArbiterInputsWire = Wire(chiselTypeOf(fromExu))
1506d11c058Ssinsanction  val vfArbiterInputsWireY = vfArbiterInputsWire.filter(_.bits.params.writeVfRf)
1516d11c058Ssinsanction  val vfArbiterInputsWireN = vfArbiterInputsWire.filterNot(_.bits.params.writeVfRf)
152b08b7dc3Sfdy
153c1e19666Sxiaofeibao-xjtu  def acceptCond(exuOutput: ExuOutput): (Seq[Bool], Bool) = {
154b08b7dc3Sfdy    val intWen = if(exuOutput.intWen.isDefined) exuOutput.intWen.get else false.B
155b08b7dc3Sfdy    val fpwen  = if(exuOutput.fpWen.isDefined) exuOutput.fpWen.get else false.B
156b08b7dc3Sfdy    val vecWen = if(exuOutput.vecWen.isDefined) exuOutput.vecWen.get else false.B
15760f0c5aeSxiaofeibao    (Seq(intWen, fpwen, vecWen), !intWen && !fpwen && !vecWen)
158b08b7dc3Sfdy  }
159b08b7dc3Sfdy
16060f0c5aeSxiaofeibao  intArbiterInputsWire.zip(fpArbiterInputsWire).zip(vfArbiterInputsWire).zip(fromExu).foreach {
16160f0c5aeSxiaofeibao    case (((intArbiterInput, fpArbiterInput), vfArbiterInput), exuOut) =>
1626d11c058Ssinsanction      val writeCond = acceptCond(exuOut.bits)
1632e49ee76Ssinsanction      val intWrite = Wire(Bool())
16460f0c5aeSxiaofeibao      val fpWrite = Wire(Bool())
1652e49ee76Ssinsanction      val vfWrite = Wire(Bool())
1662e49ee76Ssinsanction      val notWrite = Wire(Bool())
1672e49ee76Ssinsanction
1682e49ee76Ssinsanction      intWrite := exuOut.valid && writeCond._1(0)
16960f0c5aeSxiaofeibao      fpWrite := exuOut.valid && writeCond._1(1)
17060f0c5aeSxiaofeibao      vfWrite := exuOut.valid && writeCond._1(2)
1712e49ee76Ssinsanction      notWrite := writeCond._2
1726d11c058Ssinsanction
1736d11c058Ssinsanction      intArbiterInput.valid := intWrite
1746d11c058Ssinsanction      intArbiterInput.bits := exuOut.bits
17560f0c5aeSxiaofeibao      fpArbiterInput.valid := fpWrite
17660f0c5aeSxiaofeibao      fpArbiterInput.bits := exuOut.bits
1776d11c058Ssinsanction      vfArbiterInput.valid := vfWrite
1786d11c058Ssinsanction      vfArbiterInput.bits := exuOut.bits
1796d11c058Ssinsanction
1802e49ee76Ssinsanction      if (exuOut.bits.params.writeIntRf && exuOut.bits.params.isVfExeUnit) {
1812e49ee76Ssinsanction        intWrite := RegNext(exuOut.valid && writeCond._1(0))
1822e49ee76Ssinsanction        intArbiterInput.bits := RegEnable(exuOut.bits, exuOut.valid)
1832e49ee76Ssinsanction      }
1842e49ee76Ssinsanction
1856d11c058Ssinsanction      println(s"[WbDataPath] exu: ${exuOut.bits.params.exuIdx}, uncertain: ${exuOut.bits.params.hasUncertainLatency}, certain: ${exuOut.bits.params.latencyCertain}")
1866d11c058Ssinsanction
1876d11c058Ssinsanction      // only EXUs with uncertain latency need result of arbiter
1886d11c058Ssinsanction      // the result data can be maintained until getting success in arbiter
1896d11c058Ssinsanction      if (exuOut.bits.params.hasUncertainLatency) {
19060f0c5aeSxiaofeibao        exuOut.ready := intArbiterInput.ready && intWrite || fpArbiterInput.ready && fpWrite || vfArbiterInput.ready && vfWrite || notWrite
1916d11c058Ssinsanction      } else {
1926d11c058Ssinsanction        exuOut.ready := true.B
1936d11c058Ssinsanction
1946d11c058Ssinsanction        // for EXUs with certain latency, if the request fails in arbiter, the result data will be permanently lost
1956d11c058Ssinsanction        when (intWrite) {
1966d11c058Ssinsanction          assert(intArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write int regfile\n")
1976d11c058Ssinsanction        }
19860f0c5aeSxiaofeibao        when(fpWrite) {
19960f0c5aeSxiaofeibao          assert(fpArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write fp regfile\n")
20060f0c5aeSxiaofeibao        }
2016d11c058Ssinsanction        when (vfWrite) {
2026d11c058Ssinsanction          assert(vfArbiterInput.ready, s"exu ${exuOut.bits.params.exuIdx} failed to write vf regfile\n")
2036d11c058Ssinsanction        }
2046d11c058Ssinsanction      }
2056d11c058Ssinsanction      // the ports not writting back pregs are always ready
2066d11c058Ssinsanction      // the ports set highest priority are always ready
2076d11c058Ssinsanction      if (exuOut.bits.params.hasNoDataWB || exuOut.bits.params.isHighestWBPriority) {
2086d11c058Ssinsanction        exuOut.ready := true.B
209b08b7dc3Sfdy      }
210b08b7dc3Sfdy  }
211b08b7dc3Sfdy  intArbiterInputsWireN.foreach(_.ready := false.B)
21260f0c5aeSxiaofeibao  fpArbiterInputsWireN.foreach(_.ready := false.B)
213b08b7dc3Sfdy  vfArbiterInputsWireN.foreach(_.ready := false.B)
214b08b7dc3Sfdy
215730cfbc0SXuan Hu  println(s"[WbDataPath] write int preg: " +
216730cfbc0SXuan Hu    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeIntRf)}) " +
21760f0c5aeSxiaofeibao    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeIntRf)}) " +
218730cfbc0SXuan Hu    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeIntRf)}) " +
219730cfbc0SXuan Hu    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeIntRf)})"
220730cfbc0SXuan Hu  )
22160f0c5aeSxiaofeibao  println(s"[WbDataPath] write fp preg: " +
22260f0c5aeSxiaofeibao    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeFpRf)}) " +
22360f0c5aeSxiaofeibao    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeFpRf)}) " +
22460f0c5aeSxiaofeibao    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeFpRf)}) " +
22560f0c5aeSxiaofeibao    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeFpRf)})"
22660f0c5aeSxiaofeibao  )
227730cfbc0SXuan Hu  println(s"[WbDataPath] write vf preg: " +
228730cfbc0SXuan Hu    s"IntExu(${io.fromIntExu.flatten.count(_.bits.params.writeVfRf)}) " +
22960f0c5aeSxiaofeibao    s"FpExu(${io.fromFpExu.flatten.count(_.bits.params.writeVfRf)}) " +
230730cfbc0SXuan Hu    s"VfExu(${io.fromVfExu.flatten.count(_.bits.params.writeVfRf)}) " +
231730cfbc0SXuan Hu    s"MemExu(${io.fromMemExu.flatten.count(_.bits.params.writeVfRf)})"
232730cfbc0SXuan Hu  )
233730cfbc0SXuan Hu
2346d11c058Ssinsanction  // wb arbiter
235730cfbc0SXuan Hu  private val intWbArbiter = Module(new WbArbiter(params.getIntWbArbiterParams))
23660f0c5aeSxiaofeibao  private val fpWbArbiter = Module(new WbArbiter(params.getFpWbArbiterParams))
237730cfbc0SXuan Hu  private val vfWbArbiter = Module(new WbArbiter(params.getVfWbArbiterParams))
238730cfbc0SXuan Hu  println(s"[WbDataPath] int preg write back port num: ${intWbArbiter.io.out.size}, active port: ${intWbArbiter.io.inGroup.keys.toSeq.sorted}")
23960f0c5aeSxiaofeibao  println(s"[WbDataPath] fp preg write back port num: ${fpWbArbiter.io.out.size}, active port: ${fpWbArbiter.io.inGroup.keys.toSeq.sorted}")
240730cfbc0SXuan Hu  println(s"[WbDataPath] vf preg write back port num: ${vfWbArbiter.io.out.size}, active port: ${vfWbArbiter.io.inGroup.keys.toSeq.sorted}")
241730cfbc0SXuan Hu
242730cfbc0SXuan Hu  // module assign
243730cfbc0SXuan Hu  intWbArbiter.io.flush <> io.flush
24460f0c5aeSxiaofeibao  require(intWbArbiter.io.in.size == intArbiterInputsWireY.size, s"intWbArbiter input size: ${intWbArbiter.io.in.size}, all int wb size: ${intArbiterInputsWireY.size}")
245b08b7dc3Sfdy  intWbArbiter.io.in.zip(intArbiterInputsWireY).foreach { case (arbiterIn, in) =>
2465c5405a5SXuan Hu    arbiterIn.valid := in.valid && in.bits.intWen.get
247730cfbc0SXuan Hu    in.ready := arbiterIn.ready
248730cfbc0SXuan Hu    arbiterIn.bits.fromExuOutput(in.bits)
249730cfbc0SXuan Hu  }
250730cfbc0SXuan Hu  private val intWbArbiterOut = intWbArbiter.io.out
251730cfbc0SXuan Hu
25260f0c5aeSxiaofeibao  fpWbArbiter.io.flush <> io.flush
25360f0c5aeSxiaofeibao  require(fpWbArbiter.io.in.size == fpArbiterInputsWireY.size, s"fpWbArbiter input size: ${fpWbArbiter.io.in.size}, all fp wb size: ${fpArbiterInputsWireY.size}")
25460f0c5aeSxiaofeibao  fpWbArbiter.io.in.zip(fpArbiterInputsWireY).foreach { case (arbiterIn, in) =>
25560f0c5aeSxiaofeibao    arbiterIn.valid := in.valid && (in.bits.fpWen.getOrElse(false.B))
25660f0c5aeSxiaofeibao    in.ready := arbiterIn.ready
25760f0c5aeSxiaofeibao    arbiterIn.bits.fromExuOutput(in.bits)
25860f0c5aeSxiaofeibao  }
25960f0c5aeSxiaofeibao  private val fpWbArbiterOut = fpWbArbiter.io.out
26060f0c5aeSxiaofeibao
261730cfbc0SXuan Hu  vfWbArbiter.io.flush <> io.flush
262b08b7dc3Sfdy  require(vfWbArbiter.io.in.size == vfArbiterInputsWireY.size, s"vfWbArbiter input size: ${vfWbArbiter.io.in.size}, all vf wb size: ${vfArbiterInputsWireY.size}")
263b08b7dc3Sfdy  vfWbArbiter.io.in.zip(vfArbiterInputsWireY).foreach { case (arbiterIn, in) =>
26460f0c5aeSxiaofeibao    arbiterIn.valid := in.valid && (in.bits.vecWen.getOrElse(false.B))
265730cfbc0SXuan Hu    in.ready := arbiterIn.ready
266730cfbc0SXuan Hu    arbiterIn.bits.fromExuOutput(in.bits)
267730cfbc0SXuan Hu  }
268730cfbc0SXuan Hu  private val vfWbArbiterOut = vfWbArbiter.io.out
269730cfbc0SXuan Hu
2706d11c058Ssinsanction  // WB -> CtrlBlock
27183ba63b3SXuan Hu  private val intExuInputs = io.fromIntExu.flatten.toSeq
27283ba63b3SXuan Hu  private val intExuWBs = WireInit(MixedVecInit(intExuInputs))
27360f0c5aeSxiaofeibao  private val fpExuInputs = io.fromFpExu.flatten.toSeq
27460f0c5aeSxiaofeibao  private val fpExuWBs = WireInit(MixedVecInit(fpExuInputs))
27583ba63b3SXuan Hu  private val vfExuInputs = io.fromVfExu.flatten.toSeq
27683ba63b3SXuan Hu  private val vfExuWBs = WireInit(MixedVecInit(vfExuInputs))
27783ba63b3SXuan Hu  private val memExuInputs = io.fromMemExu.flatten.toSeq
27883ba63b3SXuan Hu  private val memExuWBs = WireInit(MixedVecInit(memExuInputs))
279730cfbc0SXuan Hu
280730cfbc0SXuan Hu  // only fired port can write back to ctrl block
281730cfbc0SXuan Hu  (intExuWBs zip intExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
28260f0c5aeSxiaofeibao  (fpExuWBs zip fpExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
283730cfbc0SXuan Hu  (vfExuWBs zip vfExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
284730cfbc0SXuan Hu  (memExuWBs zip memExuInputs).foreach { case (wb, input) => wb.valid := input.fire }
285730cfbc0SXuan Hu
286730cfbc0SXuan Hu  // io assign
28783ba63b3SXuan Hu  private val toIntPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(intWbArbiterOut.map(x => x.bits.asIntRfWriteBundle(x.fire)).toSeq)
28860f0c5aeSxiaofeibao  private val toFpPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(fpWbArbiterOut.map(x => x.bits.asFpRfWriteBundle(x.fire)).toSeq)
28983ba63b3SXuan Hu  private val toVfPreg: MixedVec[RfWritePortWithConfig] = MixedVecInit(vfWbArbiterOut.map(x => x.bits.asVfRfWriteBundle(x.fire)).toSeq)
290730cfbc0SXuan Hu
29160f0c5aeSxiaofeibao  private val wb2Ctrl = intExuWBs ++ fpExuWBs ++ vfExuWBs ++ memExuWBs
292730cfbc0SXuan Hu
293730cfbc0SXuan Hu  io.toIntPreg := toIntPreg
29460f0c5aeSxiaofeibao  io.toFpPreg := toFpPreg
295730cfbc0SXuan Hu  io.toVfPreg := toVfPreg
296730cfbc0SXuan Hu  io.toCtrlBlock.writeback.zip(wb2Ctrl).foreach { case (sink, source) =>
297730cfbc0SXuan Hu    sink.valid := source.valid
298730cfbc0SXuan Hu    sink.bits := source.bits
299730cfbc0SXuan Hu    source.ready := true.B
300730cfbc0SXuan Hu  }
301730cfbc0SXuan Hu
3026d11c058Ssinsanction  // debug
3036d11c058Ssinsanction  if(backendParams.debugEn) {
3046d11c058Ssinsanction    dontTouch(intArbiterInputsWire)
30560f0c5aeSxiaofeibao    dontTouch(fpArbiterInputsWire)
3066d11c058Ssinsanction    dontTouch(vfArbiterInputsWire)
3076d11c058Ssinsanction  }
3086d11c058Ssinsanction
3096d11c058Ssinsanction  // difftest
310730cfbc0SXuan Hu  if (env.EnableDifftest || env.AlwaysBasicDiff) {
311730cfbc0SXuan Hu    intWbArbiterOut.foreach(out => {
312a66aed53SXuan Hu      val difftest = DifftestModule(new DiffIntWriteback(IntPhyRegs))
31383ba63b3SXuan Hu      difftest.coreid := io.fromTop.hartId
31483ba63b3SXuan Hu      difftest.valid := out.fire && out.bits.rfWen
31583ba63b3SXuan Hu      difftest.address := out.bits.pdest
31683ba63b3SXuan Hu      difftest.data := out.bits.data
317730cfbc0SXuan Hu    })
318730cfbc0SXuan Hu  }
319730cfbc0SXuan Hu
320730cfbc0SXuan Hu  if (env.EnableDifftest || env.AlwaysBasicDiff) {
32160f0c5aeSxiaofeibao    fpWbArbiterOut.foreach(out => {
32260f0c5aeSxiaofeibao      val difftest = DifftestModule(new DiffFpWriteback(FpPhyRegs))
32383ba63b3SXuan Hu      difftest.coreid := io.fromTop.hartId
32483ba63b3SXuan Hu      difftest.valid := out.fire // all fp instr will write fp rf
32583ba63b3SXuan Hu      difftest.address := out.bits.pdest
32683ba63b3SXuan Hu      difftest.data := out.bits.data
327730cfbc0SXuan Hu    })
328730cfbc0SXuan Hu  }
329730cfbc0SXuan Hu
33060f0c5aeSxiaofeibao  if (env.EnableDifftest || env.AlwaysBasicDiff) {
33160f0c5aeSxiaofeibao    vfWbArbiterOut.foreach(out => {
33260f0c5aeSxiaofeibao      val difftest = DifftestModule(new DiffVecWriteback(VfPhyRegs))
33360f0c5aeSxiaofeibao      difftest.coreid := io.fromTop.hartId
33460f0c5aeSxiaofeibao      difftest.valid := out.fire
33560f0c5aeSxiaofeibao      difftest.address := out.bits.pdest
33660f0c5aeSxiaofeibao      difftest.data := out.bits.data
33760f0c5aeSxiaofeibao    })
33860f0c5aeSxiaofeibao  }
339730cfbc0SXuan Hu}
340730cfbc0SXuan Hu
341730cfbc0SXuan Hu
342730cfbc0SXuan Hu
343730cfbc0SXuan Hu
344