1package xiangshan.backend.datapath 2 3import org.chipsalliance.cde.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import difftest.{DiffArchFpRegState, DiffArchIntRegState, DiffArchVecRegState, DifftestModule} 7import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 8import utility._ 9import utils.SeqUtils._ 10import utils._ 11import xiangshan._ 12import xiangshan.backend.BackendParams 13import xiangshan.backend.Bundles._ 14import xiangshan.backend.decode.ImmUnion 15import xiangshan.backend.datapath.DataConfig._ 16import xiangshan.backend.datapath.RdConfig._ 17import xiangshan.backend.issue.{FpScheduler, ImmExtractor, IntScheduler, MemScheduler, VfScheduler} 18import xiangshan.backend.issue.EntryBundles._ 19import xiangshan.backend.regfile._ 20import xiangshan.backend.PcToDataPathIO 21import xiangshan.backend.fu.FuType.is0latency 22import xiangshan.mem.{SqPtr, LqPtr} 23 24class DataPath(params: BackendParams)(implicit p: Parameters) extends LazyModule { 25 override def shouldBeInlined: Boolean = false 26 27 private implicit val dpParams: BackendParams = params 28 lazy val module = new DataPathImp(this) 29 30 println(s"[DataPath] Preg Params: ") 31 println(s"[DataPath] Int R(${params.getRfReadSize(IntData())}), W(${params.getRfWriteSize(IntData())}) ") 32 println(s"[DataPath] Fp R(${params.getRfReadSize(FpData())}), W(${params.getRfWriteSize(FpData())}) ") 33 println(s"[DataPath] Vf R(${params.getRfReadSize(VecData())}), W(${params.getRfWriteSize(VecData())}) ") 34 println(s"[DataPath] V0 R(${params.getRfReadSize(V0Data())}), W(${params.getRfWriteSize(V0Data())}) ") 35 println(s"[DataPath] Vl R(${params.getRfReadSize(VlData())}), W(${params.getRfWriteSize(VlData())}) ") 36} 37 38class DataPathImp(override val wrapper: DataPath)(implicit p: Parameters, params: BackendParams) 39 extends LazyModuleImp(wrapper) with HasXSParameter { 40 41 val io = IO(new DataPathIO()) 42 43 private val (fromIntIQ, toIntIQ, toIntExu) = (io.fromIntIQ, io.toIntIQ, io.toIntExu) 44 private val (fromFpIQ, toFpIQ, toFpExu) = (io.fromFpIQ, io.toFpIQ, io.toFpExu) 45 private val (fromMemIQ, toMemIQ, toMemExu) = (io.fromMemIQ, io.toMemIQ, io.toMemExu) 46 private val (fromVfIQ, toVfIQ, toVfExu ) = (io.fromVfIQ, io.toVfIQ, io.toVecExu) 47 48 println(s"[DataPath] IntIQ(${fromIntIQ.size}), FpIQ(${fromFpIQ.size}), VecIQ(${fromVfIQ.size}), MemIQ(${fromMemIQ.size})") 49 println(s"[DataPath] IntExu(${fromIntIQ.map(_.size).sum}), FpExu(${fromFpIQ.map(_.size).sum}), VecExu(${fromVfIQ.map(_.size).sum}), MemExu(${fromMemIQ.map(_.size).sum})") 50 51 // just refences for convience 52 private val fromIQ: Seq[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = (fromIntIQ ++ fromFpIQ ++ fromVfIQ ++ fromMemIQ).toSeq 53 54 private val toIQs = toIntIQ ++ toFpIQ ++ toVfIQ ++ toMemIQ 55 56 private val toExu: Seq[MixedVec[DecoupledIO[ExuInput]]] = (toIntExu ++ toFpExu ++ toVfExu ++ toMemExu).toSeq 57 58 private val fromFlattenIQ: Seq[DecoupledIO[IssueQueueIssueBundle]] = fromIQ.flatten 59 60 private val toFlattenExu: Seq[DecoupledIO[ExuInput]] = toExu.flatten 61 62 private val intWbBusyArbiter = Module(new IntRFWBCollideChecker(backendParams)) 63 private val fpWbBusyArbiter = Module(new FpRFWBCollideChecker(backendParams)) 64 private val vfWbBusyArbiter = Module(new VfRFWBCollideChecker(backendParams)) 65 private val v0WbBusyArbiter = Module(new V0RFWBCollideChecker(backendParams)) 66 private val vlWbBusyArbiter = Module(new VlRFWBCollideChecker(backendParams)) 67 68 private val intRFReadArbiter = Module(new IntRFReadArbiter(backendParams)) 69 private val fpRFReadArbiter = Module(new FpRFReadArbiter(backendParams)) 70 private val vfRFReadArbiter = Module(new VfRFReadArbiter(backendParams)) 71 private val v0RFReadArbiter = Module(new V0RFReadArbiter(backendParams)) 72 private val vlRFReadArbiter = Module(new VlRFReadArbiter(backendParams)) 73 74 private val og0FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())).toSeq)) 75 private val og1FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())).toSeq)) 76 77 // port -> win 78 private val intRdArbWinner: Seq2[MixedVec[Bool]] = intRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq 79 private val fpRdArbWinner: Seq2[MixedVec[Bool]] = fpRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq 80 private val vfRdArbWinner: Seq2[MixedVec[Bool]] = vfRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq 81 private val v0RdArbWinner: Seq2[MixedVec[Bool]] = v0RFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq 82 private val vlRdArbWinner: Seq2[MixedVec[Bool]] = vlRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq).toSeq 83 84 private val intWbNotBlock: Seq[MixedVec[Bool]] = intWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq 85 private val fpWbNotBlock: Seq[MixedVec[Bool]] = fpWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq 86 private val vfWbNotBlock: Seq[MixedVec[Bool]] = vfWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq 87 private val v0WbNotBlock: Seq[MixedVec[Bool]] = v0WbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq 88 private val vlWbNotBlock: Seq[MixedVec[Bool]] = vlWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready).toSeq)).toSeq 89 90 private val intRdNotBlock: Seq2[Bool] = intRdArbWinner.map(_.map(_.asUInt.andR)) 91 private val fpRdNotBlock: Seq2[Bool] = fpRdArbWinner.map(_.map(_.asUInt.andR)) 92 private val vfRdNotBlock: Seq2[Bool] = vfRdArbWinner.map(_.map(_.asUInt.andR)) 93 private val v0RdNotBlock: Seq2[Bool] = v0RdArbWinner.map(_.map(_.asUInt.andR)) 94 private val vlRdNotBlock: Seq2[Bool] = vlRdArbWinner.map(_.map(_.asUInt.andR)) 95 96 private val intRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq 97 private val fpRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq 98 private val vfRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq 99 private val v0RFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq 100 private val vlRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getRfReadValidBundle(xx.valid)).toSeq).toSeq 101 102 private val allDataSources: Seq[Seq[Vec[DataSource]]] = fromIQ.map(x => x.map(xx => xx.bits.common.dataSources).toSeq) 103 private val allNumRegSrcs: Seq[Seq[Int]] = fromIQ.map(x => x.map(xx => xx.bits.exuParams.numRegSrc).toSeq) 104 105 intRFReadArbiter.io.in.zip(intRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) => 106 arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) => 107 val srcIndices: Seq[Int] = fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(IntData()) 108 for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) { 109 if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) { 110 arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg 111 arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr 112 } else { 113 arbInSeq(srcIdx).valid := false.B 114 arbInSeq(srcIdx).bits.addr := 0.U 115 } 116 } 117 } 118 } 119 fpRFReadArbiter.io.in.zip(fpRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) => 120 arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) => 121 val srcIndices: Seq[Int] = FpRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted 122 for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) { 123 if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) { 124 arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg 125 arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr 126 } else { 127 arbInSeq(srcIdx).valid := false.B 128 arbInSeq(srcIdx).bits.addr := 0.U 129 } 130 } 131 } 132 } 133 134 vfRFReadArbiter.io.in.zip(vfRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) => 135 arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) => 136 val srcIndices: Seq[Int] = VecRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted 137 for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) { 138 if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) { 139 arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg 140 arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr 141 } else { 142 arbInSeq(srcIdx).valid := false.B 143 arbInSeq(srcIdx).bits.addr := 0.U 144 } 145 } 146 } 147 } 148 149 v0RFReadArbiter.io.in.zip(v0RFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) => 150 arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) => 151 val srcIndices: Seq[Int] = V0RegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted 152 for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) { 153 if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) { 154 arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg 155 arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr 156 } else { 157 arbInSeq(srcIdx).valid := false.B 158 arbInSeq(srcIdx).bits.addr := 0.U 159 } 160 } 161 } 162 } 163 164 vlRFReadArbiter.io.in.zip(vlRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) => 165 arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) => 166 val srcIndices: Seq[Int] = VlRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted 167 for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) { 168 if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) { 169 arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid && allDataSources(iqIdx)(exuIdx)(srcIdx).readReg 170 arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr 171 } else { 172 arbInSeq(srcIdx).valid := false.B 173 arbInSeq(srcIdx).bits.addr := 0.U 174 } 175 } 176 } 177 } 178 179 private val intRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.rfWen.getOrElse(false.B)).toSeq).toSeq 180 private val fpRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.fpWen.getOrElse(false.B)).toSeq).toSeq 181 private val vfRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.vecWen.getOrElse(false.B)).toSeq).toSeq 182 private val v0RFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.v0Wen.getOrElse(false.B)).toSeq).toSeq 183 private val vlRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.vlWen.getOrElse(false.B)).toSeq).toSeq 184 185 intWbBusyArbiter.io.in.zip(intRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) => 186 arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) => 187 arbIn.valid := inRFWriteReq 188 } 189 } 190 191 fpWbBusyArbiter.io.in.zip(fpRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) => 192 arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) => 193 arbIn.valid := inRFWriteReq 194 } 195 } 196 197 vfWbBusyArbiter.io.in.zip(vfRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) => 198 arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) => 199 arbIn.valid := inRFWriteReq 200 } 201 } 202 203 v0WbBusyArbiter.io.in.zip(v0RFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) => 204 arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) => 205 arbIn.valid := inRFWriteReq 206 } 207 } 208 209 vlWbBusyArbiter.io.in.zip(vlRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) => 210 arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) => 211 arbIn.valid := inRFWriteReq 212 } 213 } 214 215 private val intSchdParams = params.schdParams(IntScheduler()) 216 private val fpSchdParams = params.schdParams(FpScheduler()) 217 private val vfSchdParams = params.schdParams(VfScheduler()) 218 private val memSchdParams = params.schdParams(MemScheduler()) 219 220 private val schdParams = params.allSchdParams 221 222 private val pcReadValid = Wire(chiselTypeOf(io.fromPcTargetMem.fromDataPathValid)) 223 private val pcReadFtqPtr = Wire(chiselTypeOf(io.fromPcTargetMem.fromDataPathFtqPtr)) 224 private val pcReadFtqOffset = Wire(chiselTypeOf(io.fromPcTargetMem.fromDataPathFtqOffset)) 225 private val targetPCRdata = io.fromPcTargetMem.toDataPathTargetPC 226 private val pcRdata = io.fromPcTargetMem.toDataPathPC 227 private val intRfRaddr = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.pregIdxWidth.W))) 228 private val intRfRdata = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.rfDataWidth.W))) 229 private val intRfWen = Wire(Vec(io.fromIntWb.length, Bool())) 230 private val intRfWaddr = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.pregIdxWidth.W))) 231 private val intRfWdata = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.rfDataWidth.W))) 232 233 private val fpRfRaddr = Wire(Vec(params.numPregRd(FpData()), UInt(fpSchdParams.pregIdxWidth.W))) 234 private val fpRfRdata = Wire(Vec(params.numPregRd(FpData()), UInt(fpSchdParams.rfDataWidth.W))) 235 private val fpRfWen = Wire(Vec(io.fromFpWb.length, Bool())) 236 private val fpRfWaddr = Wire(Vec(io.fromFpWb.length, UInt(fpSchdParams.pregIdxWidth.W))) 237 private val fpRfWdata = Wire(Vec(io.fromFpWb.length, UInt(fpSchdParams.rfDataWidth.W))) 238 239 private val vfRfSplitNum = VLEN / XLEN 240 private val vfRfRaddr = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.pregIdxWidth.W))) 241 private val vfRfRdata = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.rfDataWidth.W))) 242 private val vfRfWen = Wire(Vec(vfRfSplitNum, Vec(io.fromVfWb.length, Bool()))) 243 private val vfRfWaddr = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.pregIdxWidth.W))) 244 private val vfRfWdata = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.rfDataWidth.W))) 245 246 private val v0RfSplitNum = VLEN / XLEN 247 private val v0RfRaddr = Wire(Vec(params.numPregRd(V0Data()), UInt(log2Up(V0PhyRegs).W))) 248 private val v0RfRdata = Wire(Vec(params.numPregRd(V0Data()), UInt(V0Data().dataWidth.W))) 249 private val v0RfWen = Wire(Vec(v0RfSplitNum, Vec(io.fromV0Wb.length, Bool()))) 250 private val v0RfWaddr = Wire(Vec(io.fromV0Wb.length, UInt(log2Up(V0PhyRegs).W))) 251 private val v0RfWdata = Wire(Vec(io.fromV0Wb.length, UInt(V0Data().dataWidth.W))) 252 253 private val vlRfRaddr = Wire(Vec(params.numPregRd(VlData()), UInt(log2Up(VlPhyRegs).W))) 254 private val vlRfRdata = Wire(Vec(params.numPregRd(VlData()), UInt(VlData().dataWidth.W))) 255 private val vlRfWen = Wire(Vec(io.fromVlWb.length, Bool())) 256 private val vlRfWaddr = Wire(Vec(io.fromVlWb.length, UInt(log2Up(VlPhyRegs).W))) 257 private val vlRfWdata = Wire(Vec(io.fromVlWb.length, UInt(VlData().dataWidth.W))) 258 259 val pcReadFtqPtrFormIQ = fromIntIQ.flatten.filter(x => x.bits.exuParams.needPc) 260 assert(pcReadFtqPtrFormIQ.size == pcReadFtqPtr.size, s"pcReadFtqPtrFormIQ.size ${pcReadFtqPtrFormIQ.size} not equal pcReadFtqPtr.size ${pcReadFtqPtr.size}") 261 pcReadValid.zip(pcReadFtqPtrFormIQ.map(_.valid)).map(x => x._1 := x._2) 262 pcReadFtqPtr.zip(pcReadFtqPtrFormIQ.map(_.bits.common.ftqIdx.get)).map(x => x._1 := x._2) 263 pcReadFtqOffset.zip(pcReadFtqPtrFormIQ.map(_.bits.common.ftqOffset.get)).map(x => x._1 := x._2) 264 io.fromPcTargetMem.fromDataPathValid := pcReadValid 265 io.fromPcTargetMem.fromDataPathFtqPtr := pcReadFtqPtr 266 io.fromPcTargetMem.fromDataPathFtqOffset := pcReadFtqOffset 267 268 private val intDebugRead: Option[(Vec[UInt], Vec[UInt])] = 269 OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(32, UInt(intSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W))))) 270 private val fpDebugRead: Option[(Vec[UInt], Vec[UInt])] = 271 OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(32, UInt(fpSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W))))) 272 private val vfDebugRead: Option[(Vec[UInt], Vec[UInt])] = 273 OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(31, UInt(vfSchdParams.pregIdxWidth.W))), Wire(Vec(31, UInt(VLEN.W))))) 274 private val v0DebugRead: Option[(Vec[UInt], Vec[UInt])] = 275 OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(1, UInt(log2Up(V0PhyRegs).W))), Wire(Vec(1, UInt(V0Data().dataWidth.W))))) 276 private val vlDebugRead: Option[(Vec[UInt], Vec[UInt])] = 277 OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, (Wire(Vec(1, UInt(log2Up(VlPhyRegs).W))), Wire(Vec(1, UInt(VlData().dataWidth.W))))) 278 279 private val fpDebugReadData: Option[Vec[UInt]] = 280 OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, Wire(Vec(32, UInt(XLEN.W)))) 281 private val vecDebugReadData: Option[Vec[UInt]] = 282 OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, Wire(Vec(64, UInt(64.W)))) // v0 = Cat(Vec(1), Vec(0)) 283 private val vlDebugReadData: Option[UInt] = 284 OptionWrapper(env.AlwaysBasicDiff || env.EnableDifftest, Wire(UInt(VlData().dataWidth.W))) 285 286 287 fpDebugReadData.foreach(_ := fpDebugRead 288 .get._2 289 .slice(0, 32) 290 .map(_(63, 0)) 291 ) // fp only used [63, 0] 292 vecDebugReadData.foreach(_ := 293 v0DebugRead 294 .get._2 295 .slice(0, 1) 296 .map(x => Seq(x(63, 0), x(127, 64))).flatten ++ 297 vfDebugRead 298 .get._2 299 .slice(0, 31) 300 .map(x => Seq(x(63, 0), x(127, 64))).flatten 301 ) 302 vlDebugReadData.foreach(_ := vlDebugRead 303 .get._2(0) 304 ) 305 306 io.debugVl.foreach(_ := vlDebugReadData.get) 307 308 IntRegFile("IntRegFile", intSchdParams.numPregs, intRfRaddr, intRfRdata, intRfWen, intRfWaddr, intRfWdata, 309 bankNum = 1, 310 debugReadAddr = intDebugRead.map(_._1), 311 debugReadData = intDebugRead.map(_._2) 312 ) 313 FpRegFile("FpRegFile", fpSchdParams.numPregs, fpRfRaddr, fpRfRdata, fpRfWen, fpRfWaddr, fpRfWdata, 314 bankNum = 1, 315 debugReadAddr = fpDebugRead.map(_._1), 316 debugReadData = fpDebugRead.map(_._2) 317 ) 318 VfRegFile("VfRegFile", vfSchdParams.numPregs, vfRfSplitNum, vfRfRaddr, vfRfRdata, vfRfWen, vfRfWaddr, vfRfWdata, 319 debugReadAddr = vfDebugRead.map(_._1), 320 debugReadData = vfDebugRead.map(_._2) 321 ) 322 VfRegFile("V0RegFile", V0PhyRegs, v0RfSplitNum, v0RfRaddr, v0RfRdata, v0RfWen, v0RfWaddr, v0RfWdata, 323 debugReadAddr = v0DebugRead.map(_._1), 324 debugReadData = v0DebugRead.map(_._2) 325 ) 326 FpRegFile("VlRegFile", VlPhyRegs, vlRfRaddr, vlRfRdata, vlRfWen, vlRfWaddr, vlRfWdata, 327 bankNum = 1, 328 debugReadAddr = vlDebugRead.map(_._1), 329 debugReadData = vlDebugRead.map(_._2) 330 ) 331 332 intRfWaddr := io.fromIntWb.map(x => RegEnable(x.addr, x.wen)).toSeq 333 intRfWdata := io.fromIntWb.map(x => RegEnable(x.data, x.wen)).toSeq 334 intRfWen := RegNext(VecInit(io.fromIntWb.map(_.wen).toSeq)) 335 336 for (portIdx <- intRfRaddr.indices) { 337 if (intRFReadArbiter.io.out.isDefinedAt(portIdx)) 338 intRfRaddr(portIdx) := intRFReadArbiter.io.out(portIdx).bits.addr 339 else 340 intRfRaddr(portIdx) := 0.U 341 } 342 343 fpRfWaddr := io.fromFpWb.map(x => RegEnable(x.addr, x.wen)).toSeq 344 fpRfWdata := io.fromFpWb.map(x => RegEnable(x.data, x.wen)).toSeq 345 fpRfWen := RegNext(VecInit(io.fromFpWb.map(_.wen).toSeq)) 346 347 for (portIdx <- fpRfRaddr.indices) { 348 if (fpRFReadArbiter.io.out.isDefinedAt(portIdx)) 349 fpRfRaddr(portIdx) := fpRFReadArbiter.io.out(portIdx).bits.addr 350 else 351 fpRfRaddr(portIdx) := 0.U 352 } 353 354 vfRfWaddr := io.fromVfWb.map(x => RegEnable(x.addr, x.wen)).toSeq 355 vfRfWdata := io.fromVfWb.map(x => RegEnable(x.data, x.wen)).toSeq 356 vfRfWen.foreach(_.zip(io.fromVfWb.map(x => RegNext(x.wen))).foreach { case (wenSink, wenSource) => wenSink := wenSource } ) 357 358 for (portIdx <- vfRfRaddr.indices) { 359 if (vfRFReadArbiter.io.out.isDefinedAt(portIdx)) 360 vfRfRaddr(portIdx) := vfRFReadArbiter.io.out(portIdx).bits.addr 361 else 362 vfRfRaddr(portIdx) := 0.U 363 } 364 365 v0RfWaddr := io.fromV0Wb.map(_.addr).toSeq 366 v0RfWdata := io.fromV0Wb.map(_.data).toSeq 367 v0RfWen.foreach(_.zip(io.fromV0Wb.map(_.wen)).foreach { case (wenSink, wenSource) => wenSink := wenSource } ) 368 369 for (portIdx <- v0RfRaddr.indices) { 370 if (v0RFReadArbiter.io.out.isDefinedAt(portIdx)) 371 v0RfRaddr(portIdx) := v0RFReadArbiter.io.out(portIdx).bits.addr 372 else 373 v0RfRaddr(portIdx) := 0.U 374 } 375 376 vlRfWaddr := io.fromVlWb.map(_.addr).toSeq 377 vlRfWdata := io.fromVlWb.map(_.data).toSeq 378 vlRfWen := io.fromVlWb.map(_.wen).toSeq 379 380 for (portIdx <- vlRfRaddr.indices) { 381 if (vlRFReadArbiter.io.out.isDefinedAt(portIdx)) 382 vlRfRaddr(portIdx) := vlRFReadArbiter.io.out(portIdx).bits.addr 383 else 384 vlRfRaddr(portIdx) := 0.U 385 } 386 387 388 intDebugRead.foreach { case (addr, _) => 389 addr := io.debugIntRat.get 390 } 391 392 fpDebugRead.foreach { case (addr, _) => 393 addr := io.debugFpRat.get 394 } 395 396 vfDebugRead.foreach { case (addr, _) => 397 addr := io.debugVecRat.get 398 } 399 v0DebugRead.foreach { case (addr, _) => 400 addr := io.debugV0Rat.get 401 } 402 vlDebugRead.foreach { case (addr, _) => 403 addr := io.debugVlRat.get 404 } 405 406 println(s"[DataPath] " + 407 s"has intDebugRead: ${intDebugRead.nonEmpty}, " + 408 s"has fpDebugRead: ${fpDebugRead.nonEmpty}, " + 409 s"has vecDebugRead: ${vfDebugRead.nonEmpty}, " + 410 s"has v0DebugRead: ${v0DebugRead.nonEmpty}, " + 411 s"has vlDebugRead: ${vlDebugRead.nonEmpty}") 412 413 val s1_addrOHs = Reg(MixedVec( 414 fromIQ.map(x => MixedVec(x.map(_.bits.addrOH.cloneType).toSeq)).toSeq 415 )) 416 val s1_toExuValid: MixedVec[MixedVec[Bool]] = Reg(MixedVec( 417 toExu.map(x => MixedVec(x.map(_.valid.cloneType).toSeq)).toSeq 418 )) 419 val s1_toExuData: MixedVec[MixedVec[ExuInput]] = Reg(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.cloneType).toSeq)).toSeq)) 420 val s1_immInfo = Reg(MixedVec(toExu.map(x => MixedVec(x.map(x => new ImmInfo).toSeq)).toSeq)) 421 s1_immInfo.zip(fromIQ).map { case (s1Vec, s0Vec) => 422 s1Vec.zip(s0Vec).map { case (s1, s0) => 423 s1.imm := Mux(s0.valid, s0.bits.common.imm, s1.imm) 424 s1.immType := Mux(s0.valid, s0.bits.immType, s1.immType) 425 } 426 } 427 io.og1ImmInfo.zip(s1_immInfo.flatten).map{ case(out, reg) => 428 out := reg 429 } 430 val s1_toExuReady = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.ready.cloneType).toSeq)))) 431 val s1_srcType: MixedVec[MixedVec[Vec[UInt]]] = MixedVecInit(fromIQ.map(x => MixedVecInit(x.map(xx => RegEnable(xx.bits.srcType, xx.fire)).toSeq))) 432 433 val s1_intPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq)))) 434 val s1_fpPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq)))) 435 val s1_vfPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq)))) 436 val s1_v0PregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq)))) 437 val s1_vlPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType).toSeq)))) 438 439 val rfrPortConfigs = schdParams.map(_.issueBlockParams).flatten.map(_.exuBlockParams.map(_.rfrPortConfigs)) 440 441 println(s"[DataPath] s1_intPregRData.flatten.flatten.size: ${s1_intPregRData.flatten.flatten.size}, intRfRdata.size: ${intRfRdata.size}") 442 s1_intPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 443 s1_intPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) => 444 iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) => 445 iuRdata.zip(iuCfg) 446 .filter { case (_, cfg) => cfg.count(_.isInstanceOf[IntRD]) > 0 } 447 .foreach { case (sink, cfg) => sink := intRfRdata(cfg.find(_.isInstanceOf[IntRD]).get.port) } 448 } 449 } 450 451 println(s"[DataPath] s1_fpPregRData.flatten.flatten.size: ${s1_fpPregRData.flatten.flatten.size}, fpRfRdata.size: ${fpRfRdata.size}") 452 s1_fpPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 453 s1_fpPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) => 454 iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) => 455 iuRdata.zip(iuCfg) 456 .filter { case (_, cfg) => cfg.count(_.isInstanceOf[FpRD]) > 0 } 457 .foreach { case (sink, cfg) => sink := fpRfRdata(cfg.find(_.isInstanceOf[FpRD]).get.port) } 458 } 459 } 460 461 println(s"[DataPath] s1_vfPregRData.flatten.flatten.size: ${s1_vfPregRData.flatten.flatten.size}, vfRfRdata.size: ${vfRfRdata.size}") 462 s1_vfPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 463 s1_vfPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) => 464 iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) => 465 iuRdata.zip(iuCfg) 466 .filter { case (_, cfg) => cfg.count(_.isInstanceOf[VfRD]) > 0 } 467 .foreach { case (sink, cfg) => sink := vfRfRdata(cfg.find(_.isInstanceOf[VfRD]).get.port) } 468 } 469 } 470 471 println(s"[DataPath] s1_v0PregRData.flatten.flatten.size: ${s1_v0PregRData.flatten.flatten.size}, v0RfRdata.size: ${v0RfRdata.size}") 472 s1_v0PregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 473 s1_v0PregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) => 474 iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) => 475 iuRdata.zip(iuCfg) 476 .filter { case (_, cfg) => cfg.count(_.isInstanceOf[V0RD]) > 0 } 477 .foreach { case (sink, cfg) => sink := v0RfRdata(cfg.find(_.isInstanceOf[V0RD]).get.port) } 478 } 479 } 480 481 println(s"[DataPath] s1_vlPregRData.flatten.flatten.size: ${s1_vlPregRData.flatten.flatten.size}, vlRfRdata.size: ${vlRfRdata.size}") 482 s1_vlPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 483 s1_vlPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) => 484 iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) => 485 iuRdata.zip(iuCfg) 486 .filter { case (_, cfg) => cfg.count(_.isInstanceOf[VlRD]) > 0 } 487 .foreach { case (sink, cfg) => sink := vlRfRdata(cfg.find(_.isInstanceOf[VlRD]).get.port) } 488 } 489 } 490 491 val og0_cancel_no_load = VecInit(og0FailedVec2.flatten.zip(params.allExuParams).filter(!_._2.hasLoadFu).map(_._1).toSeq) 492 val exuParamsNoLoad = fromIQ.flatten.zip(params.allExuParams).filter(!_._2.hasLoadFu) 493 val is_0latency = Wire(Vec(og0_cancel_no_load.size, Bool())) 494 is_0latency := exuParamsNoLoad.map(x => is0latency(x._1.bits.common.fuType)) 495 val og0_cancel_delay = RegNext(VecInit(og0_cancel_no_load.zip(is_0latency).map(x => x._1 && x._2))) 496 val isVfScheduler = VecInit(exuParamsNoLoad.map(x => x._2.schdType.isInstanceOf[VfScheduler].B)) 497 val og0_cancel_delay_for_mem = VecInit(og0_cancel_delay.zip(isVfScheduler).map(x => x._1 && !x._2)) 498 for (i <- fromIQ.indices) { 499 for (j <- fromIQ(i).indices) { 500 // IQ(s0) --[Ctrl]--> s1Reg ---------- begin 501 // refs 502 val s1_valid = s1_toExuValid(i)(j) 503 val s1_ready = s1_toExuReady(i)(j) 504 val s1_data = s1_toExuData(i)(j) 505 val s1_addrOH = s1_addrOHs(i)(j) 506 val s0 = fromIQ(i)(j) // s0 507 508 val srcNotBlock = Wire(Bool()) 509 srcNotBlock := s0.bits.common.dataSources.zip(intRdArbWinner(i)(j) zip fpRdArbWinner(i)(j) zip vfRdArbWinner(i)(j) zip v0RdArbWinner(i)(j) zip vlRdArbWinner(i)(j)).map { 510 case (source, ((((win_int, win_fp), win_vf), win_v0), win_vl)) => 511 !source.readReg || win_int && win_fp && win_vf && win_v0 && win_vl 512 }.fold(true.B)(_ && _) 513 val notBlock = srcNotBlock && intWbNotBlock(i)(j) && fpWbNotBlock(i)(j) && vfWbNotBlock(i)(j) && v0WbNotBlock(i)(j) && vlWbNotBlock(i)(j) 514 val s1_flush = s0.bits.common.robIdx.needFlush(Seq(io.flush, RegNextWithEnable(io.flush))) 515 val s1_cancel = og1FailedVec2(i)(j) 516 val s0_cancel = Wire(Bool()) 517 val og0_cancel_delay_need = if (s0.bits.exuParams.schdType.isInstanceOf[MemScheduler]) og0_cancel_delay_for_mem else og0_cancel_delay 518 if (s0.bits.exuParams.isIQWakeUpSink) { 519 val exuOHNoLoad = s0.bits.common.l1ExuOH.get.map(x => x.asTypeOf(Vec(x.getWidth, Bool())).zip(params.allExuParams).filter(!_._2.hasLoadFu).map(_._1)) 520 s0_cancel := exuOHNoLoad.zip(s0.bits.common.dataSources).map{ 521 case (exuOH, dataSource) => (VecInit(exuOH).asUInt & og0_cancel_delay_need.asUInt).orR && dataSource.readForward 522 }.reduce(_ || _) && s0.valid 523 } else s0_cancel := false.B 524 val s0_ldCancel = LoadShouldCancel(s0.bits.common.loadDependency, io.ldCancel) 525 when (s0.fire && !s1_flush && notBlock && !s1_cancel && !s0_ldCancel && !s0_cancel) { 526 s1_valid := s0.valid 527 }.otherwise { 528 s1_valid := false.B 529 } 530 when (s0.valid) { 531 s1_data.fromIssueBundle(s0.bits) // no src data here 532 s1_addrOH := s0.bits.addrOH 533 } 534 s0.ready := (s1_ready || !s1_valid) && notBlock && !s1_cancel && !s0_ldCancel && !s0_cancel 535 // IQ(s0) --[Ctrl]--> s1Reg ---------- end 536 } 537 } 538 539 private val fromIQFire = fromIQ.map(_.map(_.fire)) 540 private val toExuFire = toExu.map(_.map(_.fire)) 541 toIQs.zipWithIndex.foreach { 542 case(toIQ, iqIdx) => 543 toIQ.zipWithIndex.foreach { 544 case (toIU, iuIdx) => 545 // IU: issue unit 546 val og0resp = toIU.og0resp 547 og0FailedVec2(iqIdx)(iuIdx) := fromIQ(iqIdx)(iuIdx).valid && (!fromIQFire(iqIdx)(iuIdx)) 548 og0resp.valid := og0FailedVec2(iqIdx)(iuIdx) 549 og0resp.bits.robIdx := fromIQ(iqIdx)(iuIdx).bits.common.robIdx 550 og0resp.bits.uopIdx.foreach(_ := fromIQ(iqIdx)(iuIdx).bits.common.vpu.get.vuopIdx) 551 og0resp.bits.sqIdx.foreach(_ := 0.U.asTypeOf(new SqPtr)) 552 og0resp.bits.lqIdx.foreach(_ := 0.U.asTypeOf(new LqPtr)) 553 og0resp.bits.resp := RespType.block 554 og0resp.bits.fuType := fromIQ(iqIdx)(iuIdx).bits.common.fuType 555 556 val og1resp = toIU.og1resp 557 og1FailedVec2(iqIdx)(iuIdx) := s1_toExuValid(iqIdx)(iuIdx) && !toExuFire(iqIdx)(iuIdx) 558 og1resp.valid := s1_toExuValid(iqIdx)(iuIdx) 559 og1resp.bits.robIdx := s1_toExuData(iqIdx)(iuIdx).robIdx 560 og1resp.bits.uopIdx.foreach(_ := s1_toExuData(iqIdx)(iuIdx).vpu.get.vuopIdx) 561 og1resp.bits.sqIdx.foreach(_ := 0.U.asTypeOf(new SqPtr)) 562 og1resp.bits.lqIdx.foreach(_ := 0.U.asTypeOf(new LqPtr)) 563 // respType: fuIdle ->IQ entry clear 564 // fuUncertain ->IQ entry no action 565 // fuBusy ->IQ entry issued set false, then re-issue 566 // hyu, lda and sta are fuUncertain at OG1 stage 567 // and all vector arith exu should check success in og2 stage 568 og1resp.bits.resp := Mux(og1FailedVec2(iqIdx)(iuIdx), 569 RespType.block, 570 if (toIU.issueQueueParams match { case x => x.isLdAddrIQ || x.isStAddrIQ || x.isHyAddrIQ || x.isVecLduIQ || x.isVecStuIQ || x.inVfSchd}) 571 RespType.uncertain 572 else 573 RespType.success, 574 ) 575 og1resp.bits.fuType := s1_toExuData(iqIdx)(iuIdx).fuType 576 } 577 } 578 579 io.og0CancelOH := VecInit(fromFlattenIQ.map(x => x.valid && !x.fire)).asUInt 580 io.og1CancelOH := VecInit(toFlattenExu.map(x => x.valid && !x.fire)).asUInt 581 582 io.cancelToBusyTable.zipWithIndex.foreach { case (cancel, i) => 583 cancel.valid := fromFlattenIQ(i).valid && !fromFlattenIQ(i).fire 584 cancel.bits.rfWen := fromFlattenIQ(i).bits.common.rfWen.getOrElse(false.B) 585 cancel.bits.fpWen := fromFlattenIQ(i).bits.common.fpWen.getOrElse(false.B) 586 cancel.bits.vecWen := fromFlattenIQ(i).bits.common.vecWen.getOrElse(false.B) 587 cancel.bits.v0Wen := fromFlattenIQ(i).bits.common.v0Wen.getOrElse(false.B) 588 cancel.bits.vlWen := fromFlattenIQ(i).bits.common.vlWen.getOrElse(false.B) 589 cancel.bits.pdest := fromFlattenIQ(i).bits.common.pdest 590 } 591 592 if (backendParams.debugEn){ 593 dontTouch(og0_cancel_no_load) 594 dontTouch(is_0latency) 595 dontTouch(og0_cancel_delay) 596 dontTouch(isVfScheduler) 597 dontTouch(og0_cancel_delay_for_mem) 598 } 599 for (i <- toExu.indices) { 600 for (j <- toExu(i).indices) { 601 // s1Reg --[Ctrl]--> exu(s1) ---------- begin 602 // refs 603 val sinkData = toExu(i)(j).bits 604 // assign 605 toExu(i)(j).valid := s1_toExuValid(i)(j) 606 s1_toExuReady(i)(j) := toExu(i)(j).ready 607 sinkData := s1_toExuData(i)(j) 608 // s1Reg --[Ctrl]--> exu(s1) ---------- end 609 610 // s1Reg --[Data]--> exu(s1) ---------- begin 611 // data source1: preg read data 612 for (k <- sinkData.src.indices) { 613 val srcDataTypeSet: Set[DataConfig] = sinkData.params.getSrcDataType(k) 614 val readRfMap: Seq[(Bool, UInt)] = ( 615 if (k == 3) {( 616 Seq(None) 617 :+ 618 OptionWrapper(s1_v0PregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(V0RegSrcDataSet).nonEmpty, 619 (SrcType.isV0(s1_srcType(i)(j)(k)) -> s1_v0PregRData(i)(j)(k))) 620 )} 621 else if (k == 4) {( 622 Seq(None) 623 :+ 624 OptionWrapper(s1_vlPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VlRegSrcDataSet).nonEmpty, 625 (SrcType.isVp(s1_srcType(i)(j)(k)) -> s1_vlPregRData(i)(j)(k))) 626 )} 627 else {( 628 Seq(None) 629 :+ 630 OptionWrapper(s1_intPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(IntRegSrcDataSet).nonEmpty, 631 (SrcType.isXp(s1_srcType(i)(j)(k)) -> s1_intPregRData(i)(j)(k))) 632 :+ 633 OptionWrapper(s1_vfPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VecRegSrcDataSet).nonEmpty, 634 (SrcType.isVp(s1_srcType(i)(j)(k)) -> s1_vfPregRData(i)(j)(k))) 635 :+ 636 OptionWrapper(s1_fpPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(FpRegSrcDataSet).nonEmpty, 637 (SrcType.isFp(s1_srcType(i)(j)(k)) -> s1_fpPregRData(i)(j)(k))) 638 )} 639 ).filter(_.nonEmpty).map(_.get) 640 641 if (readRfMap.nonEmpty) 642 sinkData.src(k) := Mux1H(readRfMap) 643 } 644 if (sinkData.params.hasJmpFu) { 645 val index = pcReadFtqPtrFormIQ.map(_.bits.exuParams).indexOf(sinkData.params) 646 sinkData.pc.get := pcRdata(index) 647 } 648 if (sinkData.params.needTarget) { 649 val index = pcReadFtqPtrFormIQ.map(_.bits.exuParams).indexOf(sinkData.params) 650 sinkData.predictInfo.get.target := targetPCRdata(index) 651 } 652 } 653 } 654 655 if (env.AlwaysBasicDiff || env.EnableDifftest) { 656 val delayedCnt = 2 657 val difftestArchIntRegState = DifftestModule(new DiffArchIntRegState, delay = delayedCnt) 658 difftestArchIntRegState.coreid := io.hartId 659 difftestArchIntRegState.value := intDebugRead.get._2 660 661 val difftestArchFpRegState = DifftestModule(new DiffArchFpRegState, delay = delayedCnt) 662 difftestArchFpRegState.coreid := io.hartId 663 difftestArchFpRegState.value := fpDebugReadData.get 664 665 val difftestArchVecRegState = DifftestModule(new DiffArchVecRegState, delay = delayedCnt) 666 difftestArchVecRegState.coreid := io.hartId 667 difftestArchVecRegState.value := vecDebugReadData.get 668 } 669 670 val int_regcache_size = 48 671 val int_regcache_tag = RegInit(VecInit(Seq.fill(int_regcache_size)(0.U(intSchdParams.pregIdxWidth.W)))) 672 val int_regcache_enqPtr = RegInit(0.U(log2Up(int_regcache_size).W)) 673 int_regcache_enqPtr := int_regcache_enqPtr + PopCount(intRfWen) 674 for (i <- intRfWen.indices) { 675 when (intRfWen(i)) { 676 int_regcache_tag(int_regcache_enqPtr + PopCount(intRfWen.take(i))) := intRfWaddr(i) 677 } 678 } 679 680 val vf_regcache_size = 48 681 val vf_regcache_tag = RegInit(VecInit(Seq.fill(vf_regcache_size)(0.U(vfSchdParams.pregIdxWidth.W)))) 682 val vf_regcache_enqPtr = RegInit(0.U(log2Up(vf_regcache_size).W)) 683 vf_regcache_enqPtr := vf_regcache_enqPtr + PopCount(vfRfWen.head) 684 for (i <- vfRfWen.indices) { 685 when (vfRfWen.head(i)) { 686 vf_regcache_tag(vf_regcache_enqPtr + PopCount(vfRfWen.head.take(i))) := vfRfWaddr(i) 687 } 688 } 689 690 XSPerfHistogram(s"IntRegFileRead_hist", PopCount(intRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 20, 1) 691 XSPerfHistogram(s"FpRegFileRead_hist", PopCount(fpRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 20, 1) 692 XSPerfHistogram(s"VfRegFileRead_hist", PopCount(vfRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 20, 1) 693 XSPerfHistogram(s"IntRegFileWrite_hist", PopCount(intRFWriteReq.flatten), true.B, 0, 20, 1) 694 XSPerfHistogram(s"FpRegFileWrite_hist", PopCount(fpRFWriteReq.flatten), true.B, 0, 20, 1) 695 XSPerfHistogram(s"VfRegFileWrite_hist", PopCount(vfRFWriteReq.flatten), true.B, 0, 20, 1) 696 697 val int_regcache_part32 = (1 until 33).map(i => int_regcache_tag(int_regcache_enqPtr - i.U)) 698 val int_regcache_part24 = (1 until 24).map(i => int_regcache_tag(int_regcache_enqPtr - i.U)) 699 val int_regcache_part16 = (1 until 17).map(i => int_regcache_tag(int_regcache_enqPtr - i.U)) 700 val int_regcache_part8 = (1 until 9).map(i => int_regcache_tag(int_regcache_enqPtr - i.U)) 701 702 val int_regcache_48_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_tag.map(_ === x.bits.addr).reduce(_ || _)) 703 val int_regcache_8_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part8.map(_ === x.bits.addr).reduce(_ || _)) 704 val int_regcache_16_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part16.map(_ === x.bits.addr).reduce(_ || _)) 705 val int_regcache_24_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part24.map(_ === x.bits.addr).reduce(_ || _)) 706 val int_regcache_32_hit_vec = intRFReadArbiter.io.in.flatten.flatten.map(x => x.valid && int_regcache_part32.map(_ === x.bits.addr).reduce(_ || _)) 707 XSPerfAccumulate("IntRegCache48Hit", PopCount(int_regcache_48_hit_vec)) 708 XSPerfAccumulate("IntRegCache8Hit", PopCount(int_regcache_8_hit_vec)) 709 XSPerfAccumulate("IntRegCache16Hit", PopCount(int_regcache_16_hit_vec)) 710 XSPerfAccumulate("IntRegCache24Hit", PopCount(int_regcache_24_hit_vec)) 711 XSPerfAccumulate("IntRegCache32Hit", PopCount(int_regcache_32_hit_vec)) 712 XSPerfHistogram("IntRegCache48Hit_hist", PopCount(int_regcache_48_hit_vec), true.B, 0, 16, 2) 713 714 XSPerfAccumulate(s"IntRFReadBeforeArb", PopCount(intRFReadArbiter.io.in.flatten.flatten.map(_.valid))) 715 XSPerfAccumulate(s"IntRFReadAfterArb", PopCount(intRFReadArbiter.io.out.map(_.valid))) 716 XSPerfAccumulate(s"FpRFReadBeforeArb", PopCount(fpRFReadArbiter.io.in.flatten.flatten.map(_.valid))) 717 XSPerfAccumulate(s"FpRFReadAfterArb", PopCount(fpRFReadArbiter.io.out.map(_.valid))) 718 XSPerfAccumulate(s"VfRFReadBeforeArb", PopCount(vfRFReadArbiter.io.in.flatten.flatten.map(_.valid))) 719 XSPerfAccumulate(s"VfRFReadAfterArb", PopCount(vfRFReadArbiter.io.out.map(_.valid))) 720 XSPerfAccumulate(s"IntUopBeforeArb", PopCount(fromIntIQ.flatten.map(_.valid))) 721 XSPerfAccumulate(s"IntUopAfterArb", PopCount(fromIntIQ.flatten.map(_.fire))) 722 XSPerfAccumulate(s"MemUopBeforeArb", PopCount(fromMemIQ.flatten.map(_.valid))) 723 XSPerfAccumulate(s"MemUopAfterArb", PopCount(fromMemIQ.flatten.map(_.fire))) 724 XSPerfAccumulate(s"VfUopBeforeArb", PopCount(fromVfIQ.flatten.map(_.valid))) 725 XSPerfAccumulate(s"VfUopAfterArb", PopCount(fromVfIQ.flatten.map(_.fire))) 726 727 XSPerfHistogram(s"IntRFReadBeforeArb_hist", PopCount(intRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 16, 2) 728 XSPerfHistogram(s"IntRFReadAfterArb_hist", PopCount(intRFReadArbiter.io.out.map(_.valid)), true.B, 0, 16, 2) 729 XSPerfHistogram(s"FpRFReadBeforeArb_hist", PopCount(fpRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 16, 2) 730 XSPerfHistogram(s"FpRFReadAfterArb_hist", PopCount(fpRFReadArbiter.io.out.map(_.valid)), true.B, 0, 16, 2) 731 XSPerfHistogram(s"VfRFReadBeforeArb_hist", PopCount(vfRFReadArbiter.io.in.flatten.flatten.map(_.valid)), true.B, 0, 16, 2) 732 XSPerfHistogram(s"VfRFReadAfterArb_hist", PopCount(vfRFReadArbiter.io.out.map(_.valid)), true.B, 0, 16, 2) 733 XSPerfHistogram(s"IntUopBeforeArb_hist", PopCount(fromIntIQ.flatten.map(_.valid)), true.B, 0, 8, 2) 734 XSPerfHistogram(s"IntUopAfterArb_hist", PopCount(fromIntIQ.flatten.map(_.fire)), true.B, 0, 8, 2) 735 XSPerfHistogram(s"MemUopBeforeArb_hist", PopCount(fromMemIQ.flatten.map(_.valid)), true.B, 0, 8, 2) 736 XSPerfHistogram(s"MemUopAfterArb_hist", PopCount(fromMemIQ.flatten.map(_.fire)), true.B, 0, 8, 2) 737 XSPerfHistogram(s"VfUopBeforeArb_hist", PopCount(fromVfIQ.flatten.map(_.valid)), true.B, 0, 8, 2) 738 XSPerfHistogram(s"VfUopAfterArb_hist", PopCount(fromVfIQ.flatten.map(_.fire)), true.B, 0, 8, 2) 739} 740 741class DataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle { 742 // params 743 private val intSchdParams = params.schdParams(IntScheduler()) 744 private val fpSchdParams = params.schdParams(FpScheduler()) 745 private val vfSchdParams = params.schdParams(VfScheduler()) 746 private val memSchdParams = params.schdParams(MemScheduler()) 747 // bundles 748 val hartId = Input(UInt(8.W)) 749 750 val flush: ValidIO[Redirect] = Flipped(ValidIO(new Redirect)) 751 752 val wbConfictRead = Input(MixedVec(params.allSchdParams.map(x => MixedVec(x.issueBlockParams.map(x => x.genWbConflictBundle()))))) 753 754 val fromIntIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 755 Flipped(MixedVec(intSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 756 757 val fromFpIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 758 Flipped(MixedVec(fpSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 759 760 val fromMemIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 761 Flipped(MixedVec(memSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 762 763 val fromVfIQ = Flipped(MixedVec(vfSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 764 765 val toIntIQ = MixedVec(intSchdParams.issueBlockParams.map(_.genOGRespBundle)) 766 767 val toFpIQ = MixedVec(fpSchdParams.issueBlockParams.map(_.genOGRespBundle)) 768 769 val toMemIQ = MixedVec(memSchdParams.issueBlockParams.map(_.genOGRespBundle)) 770 771 val toVfIQ = MixedVec(vfSchdParams.issueBlockParams.map(_.genOGRespBundle)) 772 773 val og0CancelOH = Output(ExuOH(backendParams.numExu)) 774 775 val og1CancelOH = Output(ExuOH(backendParams.numExu)) 776 777 val ldCancel = Vec(backendParams.LduCnt + backendParams.HyuCnt, Flipped(new LoadCancelIO)) 778 779 val cancelToBusyTable = Vec(backendParams.numExu, ValidIO(new CancelSignal)) 780 781 val toIntExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = intSchdParams.genExuInputBundle 782 783 val toFpExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(fpSchdParams.genExuInputBundle) 784 785 val toVecExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(vfSchdParams.genExuInputBundle) 786 787 val toMemExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = memSchdParams.genExuInputBundle 788 789 val og1ImmInfo: Vec[ImmInfo] = Output(Vec(params.allExuParams.size, new ImmInfo)) 790 791 val fromIntWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genIntWriteBackBundle) 792 793 val fromFpWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genFpWriteBackBundle) 794 795 val fromVfWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVfWriteBackBundle) 796 797 val fromV0Wb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genV0WriteBackBundle) 798 799 val fromVlWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVlWriteBackBundle) 800 801 val fromPcTargetMem = Flipped(new PcToDataPathIO(params)) 802 803 val debugIntRat = if (params.debugEn) Some(Input(Vec(32, UInt(intSchdParams.pregIdxWidth.W)))) else None 804 val debugFpRat = if (params.debugEn) Some(Input(Vec(32, UInt(fpSchdParams.pregIdxWidth.W)))) else None 805 val debugVecRat = if (params.debugEn) Some(Input(Vec(31, UInt(vfSchdParams.pregIdxWidth.W)))) else None 806 val debugV0Rat = if (params.debugEn) Some(Input(Vec(1, UInt(log2Up(V0PhyRegs).W)))) else None 807 val debugVlRat = if (params.debugEn) Some(Input(Vec(1, UInt(log2Up(VlPhyRegs).W)))) else None 808 val debugVl = if (params.debugEn) Some(Output(UInt(VlData().dataWidth.W))) else None 809} 810