1730cfbc0SXuan Hupackage xiangshan.backend.datapath 2730cfbc0SXuan Hu 3730cfbc0SXuan Huimport chipsalliance.rocketchip.config.Parameters 43fd20becSczwimport chisel3.{Data, _} 5730cfbc0SXuan Huimport chisel3.util._ 6730cfbc0SXuan Huimport difftest.{DifftestArchFpRegState, DifftestArchIntRegState, DifftestArchVecRegState} 7730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 8730cfbc0SXuan Huimport utility._ 9730cfbc0SXuan Huimport xiangshan._ 10730cfbc0SXuan Huimport xiangshan.backend.BackendParams 11730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._ 12730cfbc0SXuan Huimport xiangshan.backend.datapath.RdConfig._ 13730cfbc0SXuan Huimport xiangshan.backend.issue.{ImmExtractor, IntScheduler, MemScheduler, VfScheduler} 14730cfbc0SXuan Huimport xiangshan.backend.Bundles._ 15730cfbc0SXuan Huimport xiangshan.backend.regfile._ 163fd20becSczwimport xiangshan.backend.datapath.WbConfig.{IntWB, PregWB, VfWB} 173fd20becSczw 183fd20becSczwclass WbBusyArbiterIO(inPortSize: Int, outPortSize: Int)(implicit p: Parameters) extends XSBundle { 193fd20becSczw val in = Vec(inPortSize, Flipped(DecoupledIO(new Bundle{}))) // TODO: remote the bool 203fd20becSczw val flush = Flipped(ValidIO(new Redirect)) 213fd20becSczw} 223fd20becSczw 233fd20becSczwclass WbBusyArbiter(isInt: Boolean)(implicit p: Parameters) extends XSModule { 243fd20becSczw val allExuParams = backendParams.allExuParams 253fd20becSczw 263fd20becSczw val portConfigs = allExuParams.flatMap(_.wbPortConfigs).filter{ 273fd20becSczw wbPortConfig => 283fd20becSczw if(isInt){ 293fd20becSczw wbPortConfig.isInstanceOf[IntWB] 303fd20becSczw } 313fd20becSczw else{ 323fd20becSczw wbPortConfig.isInstanceOf[VfWB] 333fd20becSczw } 343fd20becSczw } 353fd20becSczw 363fd20becSczw val numRfWrite = if (isInt) backendParams.numIntWb else backendParams.numVfWb 373fd20becSczw 383fd20becSczw val io = IO(new WbBusyArbiterIO(portConfigs.size, numRfWrite)) 393fd20becSczw // inGroup[port -> Bundle] 403fd20becSczw val inGroup = io.in.zip(portConfigs).groupBy{ case(port, config) => config.port} 413fd20becSczw // sort by priority 423fd20becSczw val inGroupSorted = inGroup.map{ 433fd20becSczw case(key, value) => (key -> value.sortBy{ case(port, config) => config.asInstanceOf[PregWB].priority}) 443fd20becSczw } 453fd20becSczw 463fd20becSczw private val arbiters = Seq.tabulate(numRfWrite) { x => { 473fd20becSczw if (inGroupSorted.contains(x)) { 483fd20becSczw Some(Module(new Arbiter( new Bundle{} ,n = inGroupSorted(x).length))) 493fd20becSczw } else { 503fd20becSczw None 513fd20becSczw } 523fd20becSczw }} 533fd20becSczw 543fd20becSczw arbiters.zipWithIndex.foreach { case (arb, i) => 553fd20becSczw if (arb.nonEmpty) { 563fd20becSczw arb.get.io.in.zip(inGroupSorted(i).map(_._1)).foreach { case (arbIn, addrIn) => 573fd20becSczw arbIn <> addrIn 583fd20becSczw } 593fd20becSczw } 603fd20becSczw } 613fd20becSczw 623fd20becSczw arbiters.foreach(_.foreach(_.io.out.ready := true.B)) 633fd20becSczw} 64730cfbc0SXuan Hu 65730cfbc0SXuan Huclass RFArbiterBundle(addrWidth: Int)(implicit p: Parameters) extends XSBundle { 66730cfbc0SXuan Hu val addr = UInt(addrWidth.W) 67730cfbc0SXuan Hu} 68730cfbc0SXuan Hu 69730cfbc0SXuan Huclass RFReadArbiterIO(inPortSize: Int, outPortSize: Int, pregWidth: Int)(implicit p: Parameters) extends XSBundle { 70730cfbc0SXuan Hu val in = Vec(inPortSize, Flipped(DecoupledIO(new RFArbiterBundle(pregWidth)))) 71730cfbc0SXuan Hu val out = Vec(outPortSize, Valid(new RFArbiterBundle(pregWidth))) 72730cfbc0SXuan Hu val flush = Flipped(ValidIO(new Redirect)) 73730cfbc0SXuan Hu} 74730cfbc0SXuan Hu 75730cfbc0SXuan Huclass RFReadArbiter(isInt: Boolean)(implicit p: Parameters) extends XSModule { 76730cfbc0SXuan Hu val allExuParams = backendParams.allExuParams 77730cfbc0SXuan Hu 78fcaf0cdcSXuan Hu val portConfigs: Seq[RdConfig] = allExuParams.map(_.rfrPortConfigs.flatten).flatten.filter{ 79730cfbc0SXuan Hu rfrPortConfigs => 80730cfbc0SXuan Hu if(isInt){ 81730cfbc0SXuan Hu rfrPortConfigs.isInstanceOf[IntRD] 82730cfbc0SXuan Hu } 83730cfbc0SXuan Hu else{ 84730cfbc0SXuan Hu rfrPortConfigs.isInstanceOf[VfRD] 85730cfbc0SXuan Hu } 86730cfbc0SXuan Hu } 87730cfbc0SXuan Hu 88fcaf0cdcSXuan Hu private val moduleName = this.getClass.getName + (if (isInt) "Int" else "Vf") 89fcaf0cdcSXuan Hu 90fcaf0cdcSXuan Hu println(s"[$moduleName] ports(${portConfigs.size})") 91fcaf0cdcSXuan Hu for (portCfg <- portConfigs) { 92fcaf0cdcSXuan Hu println(s"[$moduleName] port: ${portCfg.port}, priority: ${portCfg.priority}") 93fcaf0cdcSXuan Hu } 94fcaf0cdcSXuan Hu 95730cfbc0SXuan Hu val pregParams = if(isInt) backendParams.intPregParams else backendParams.vfPregParams 96730cfbc0SXuan Hu 97730cfbc0SXuan Hu val io = IO(new RFReadArbiterIO(portConfigs.size, backendParams.numRfRead, pregParams.addrWidth)) 98730cfbc0SXuan Hu // inGroup[port -> Bundle] 99730cfbc0SXuan Hu val inGroup: Map[Int, IndexedSeq[(DecoupledIO[RFArbiterBundle], RdConfig)]] = io.in.zip(portConfigs).groupBy{ case(port, config) => config.port} 100730cfbc0SXuan Hu // sort by priority 101730cfbc0SXuan Hu val inGroupSorted: Map[Int, IndexedSeq[(DecoupledIO[RFArbiterBundle], RdConfig)]] = inGroup.map{ 102730cfbc0SXuan Hu case(key, value) => (key -> value.sortBy{ case(port, config) => config.priority}) 103730cfbc0SXuan Hu } 104730cfbc0SXuan Hu 105730cfbc0SXuan Hu private val arbiters: Seq[Option[Arbiter[RFArbiterBundle]]] = Seq.tabulate(backendParams.numRfRead) { x => { 106730cfbc0SXuan Hu if (inGroupSorted.contains(x)) { 107730cfbc0SXuan Hu Some(Module(new Arbiter(new RFArbiterBundle(pregParams.addrWidth), inGroupSorted(x).length))) 108730cfbc0SXuan Hu } else { 109730cfbc0SXuan Hu None 110730cfbc0SXuan Hu } 111730cfbc0SXuan Hu }} 112730cfbc0SXuan Hu 113730cfbc0SXuan Hu arbiters.zipWithIndex.foreach { case (arb, i) => 114730cfbc0SXuan Hu if (arb.nonEmpty) { 115730cfbc0SXuan Hu arb.get.io.in.zip(inGroupSorted(i).map(_._1)).foreach { case (arbIn, addrIn) => 116730cfbc0SXuan Hu arbIn <> addrIn 117730cfbc0SXuan Hu } 118730cfbc0SXuan Hu } 119730cfbc0SXuan Hu } 120730cfbc0SXuan Hu 121730cfbc0SXuan Hu io.out.zip(arbiters).foreach { case (addrOut, arb) => 122730cfbc0SXuan Hu if (arb.nonEmpty) { 123730cfbc0SXuan Hu val arbOut = arb.get.io.out 124730cfbc0SXuan Hu arbOut.ready := true.B 125730cfbc0SXuan Hu addrOut.valid := arbOut.valid 126730cfbc0SXuan Hu addrOut.bits := arbOut.bits 127730cfbc0SXuan Hu } else { 128730cfbc0SXuan Hu addrOut := 0.U.asTypeOf(addrOut) 129730cfbc0SXuan Hu } 130730cfbc0SXuan Hu } 131730cfbc0SXuan Hu} 132730cfbc0SXuan Hu 133730cfbc0SXuan Huclass DataPath(params: BackendParams)(implicit p: Parameters) extends LazyModule { 134730cfbc0SXuan Hu private implicit val dpParams: BackendParams = params 135730cfbc0SXuan Hu lazy val module = new DataPathImp(this) 136730cfbc0SXuan Hu} 137730cfbc0SXuan Hu 138730cfbc0SXuan Huclass DataPathImp(override val wrapper: DataPath)(implicit p: Parameters, params: BackendParams) 139730cfbc0SXuan Hu extends LazyModuleImp(wrapper) with HasXSParameter { 140730cfbc0SXuan Hu 141d91483a6Sfdy private val VCONFIG_PORT = params.vconfigPort 142d91483a6Sfdy 143730cfbc0SXuan Hu val io = IO(new DataPathIO()) 144730cfbc0SXuan Hu 145730cfbc0SXuan Hu private val (fromIntIQ, toIntIQ, toIntExu) = (io.fromIntIQ, io.toIntIQ, io.toIntExu) 146730cfbc0SXuan Hu private val (fromMemIQ, toMemIQ, toMemExu) = (io.fromMemIQ, io.toMemIQ, io.toMemExu) 147730cfbc0SXuan Hu private val (fromVfIQ , toVfIQ , toVfExu ) = (io.fromVfIQ , io.toVfIQ , io.toFpExu) 148bf35baadSXuan Hu private val (fromIntExus, fromVfExus) = (io.fromIntExus, io.fromVfExus) 149730cfbc0SXuan Hu 150730cfbc0SXuan Hu println(s"[DataPath] IntIQ(${fromIntIQ.size}), MemIQ(${fromMemIQ.size})") 151730cfbc0SXuan Hu println(s"[DataPath] IntExu(${fromIntIQ.map(_.size).sum}), MemExu(${fromMemIQ.map(_.size).sum})") 152730cfbc0SXuan Hu 153730cfbc0SXuan Hu // just refences for convience 154730cfbc0SXuan Hu private val fromIQ = fromIntIQ ++ fromVfIQ ++ fromMemIQ 155730cfbc0SXuan Hu 156730cfbc0SXuan Hu private val toIQs = toIntIQ ++ toVfIQ ++ toMemIQ 157730cfbc0SXuan Hu 158730cfbc0SXuan Hu private val toExu = toIntExu ++ toVfExu ++ toMemExu 159730cfbc0SXuan Hu 160bf35baadSXuan Hu private val fromExus = fromIntExus ++ fromVfExus 161bf35baadSXuan Hu 1623fd20becSczw private val intWbBusyArbiter = Module(new WbBusyArbiter(true)) 1633fd20becSczw private val vfWbBusyArbiter = Module(new WbBusyArbiter(false)) 164730cfbc0SXuan Hu private val intRFReadArbiter = Module(new RFReadArbiter(true)) 165730cfbc0SXuan Hu private val vfRFReadArbiter = Module(new RFReadArbiter(false)) 166730cfbc0SXuan Hu 167*c0be7f33SXuan Hu private val og0FailedVec: Vec[Bool] = Wire(Vec(backendParams.numExu, Bool())) 168*c0be7f33SXuan Hu private val og1FailedVec: Vec[Bool] = Wire(Vec(backendParams.numExu, Bool())) 169*c0be7f33SXuan Hu private val og0FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())))) 170*c0be7f33SXuan Hu private val og1FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())))) 171*c0be7f33SXuan Hu 172730cfbc0SXuan Hu private val issuePortsIn = fromIQ.flatten 1733fd20becSczw private val intNotBlocksW = fromIQ.map { case iq => Wire(Vec(iq.size, Bool())) } 1743fd20becSczw private val intNotBlocksSeqW = intNotBlocksW.flatten 1753fd20becSczw private val vfNotBlocksW = fromIQ.map { case iq => Wire(Vec(iq.size, Bool())) } 1763fd20becSczw private val vfNotBlocksSeqW = vfNotBlocksW.flatten 177730cfbc0SXuan Hu private val intBlocks = fromIQ.map{ case iq => Wire(Vec(iq.size, Bool())) } 178730cfbc0SXuan Hu private val intBlocksSeq = intBlocks.flatten 179730cfbc0SXuan Hu private val vfBlocks = fromIQ.map { case iq => Wire(Vec(iq.size, Bool())) } 180730cfbc0SXuan Hu private val vfBlocksSeq = vfBlocks.flatten 1812e0a7dc5Sfdy private val intWbConflictReads = io.wbConfictRead.flatten.flatten.map(_.intConflict) 1822e0a7dc5Sfdy private val vfWbConflictReads = io.wbConfictRead.flatten.flatten.map(_.vfConflict) 183730cfbc0SXuan Hu 1843fd20becSczw val intWbBusyInSize = issuePortsIn.map(issuePortIn => issuePortIn.bits.getIntWbBusyBundle.size).scan(0)(_ + _) 185fcaf0cdcSXuan Hu val intReadPortInSize: IndexedSeq[Int] = issuePortsIn.map(issuePortIn => issuePortIn.bits.getIntRfReadBundle.size).scan(0)(_ + _) 186730cfbc0SXuan Hu issuePortsIn.zipWithIndex.foreach{ 187730cfbc0SXuan Hu case (issuePortIn, idx) => 188bf35baadSXuan Hu val wbBusyIn: Seq[Bool] = issuePortIn.bits.getIntWbBusyBundle 1893fd20becSczw val lw = intWbBusyInSize(idx) 1903fd20becSczw val rw = intWbBusyInSize(idx + 1) 1913fd20becSczw val arbiterInW = intWbBusyArbiter.io.in.slice(lw, rw) 1923fd20becSczw arbiterInW.zip(wbBusyIn).foreach { 1933fd20becSczw case (sink, source) => 1943fd20becSczw sink.bits := DontCare 1953fd20becSczw sink.valid := issuePortIn.valid && source 1963fd20becSczw } 1972e0a7dc5Sfdy val notBlockFlag = if (rw > lw) { 1982e0a7dc5Sfdy val arbiterRes = arbiterInW.zip(wbBusyIn).map { 1993fd20becSczw case (sink, source) => sink.ready 2003fd20becSczw }.reduce(_ & _) 2012e0a7dc5Sfdy if (intWbConflictReads(idx).isDefined) { 2022e0a7dc5Sfdy Mux(intWbConflictReads(idx).get, arbiterRes, true.B) 2032e0a7dc5Sfdy } else arbiterRes 2042e0a7dc5Sfdy } else true.B 2052e0a7dc5Sfdy intNotBlocksSeqW(idx) := notBlockFlag 206730cfbc0SXuan Hu val readPortIn = issuePortIn.bits.getIntRfReadBundle 207730cfbc0SXuan Hu val l = intReadPortInSize(idx) 208730cfbc0SXuan Hu val r = intReadPortInSize(idx + 1) 209730cfbc0SXuan Hu val arbiterIn = intRFReadArbiter.io.in.slice(l, r) 210730cfbc0SXuan Hu arbiterIn.zip(readPortIn).foreach{ 211730cfbc0SXuan Hu case(sink, source) => 212730cfbc0SXuan Hu sink.bits.addr := source.addr 2132e0a7dc5Sfdy sink.valid := issuePortIn.valid && SrcType.isXp(source.srcType) 214730cfbc0SXuan Hu } 215730cfbc0SXuan Hu if(r > l){ 216730cfbc0SXuan Hu intBlocksSeq(idx) := !arbiterIn.zip(readPortIn).map { 217730cfbc0SXuan Hu case (sink, source) => Mux(SrcType.isXp(source.srcType), sink.ready, true.B) 218730cfbc0SXuan Hu }.reduce(_ & _) 219730cfbc0SXuan Hu } 220730cfbc0SXuan Hu else{ 221730cfbc0SXuan Hu intBlocksSeq(idx) := false.B 222730cfbc0SXuan Hu } 223730cfbc0SXuan Hu } 2243fd20becSczw intWbBusyArbiter.io.flush := io.flush 225730cfbc0SXuan Hu intRFReadArbiter.io.flush := io.flush 226730cfbc0SXuan Hu 2273fd20becSczw val vfWbBusyInSize = issuePortsIn.map(issuePortIn => issuePortIn.bits.getVfWbBusyBundle.size).scan(0)(_ + _) 228b6b11f60SXuan Hu val vfReadPortInSize: IndexedSeq[Int] = issuePortsIn.map(issuePortIn => issuePortIn.bits.getVfRfReadBundle.size).scan(0)(_ + _) 229b6b11f60SXuan Hu println(s"vfReadPortInSize: $vfReadPortInSize") 230b6b11f60SXuan Hu 231730cfbc0SXuan Hu issuePortsIn.zipWithIndex.foreach { 232730cfbc0SXuan Hu case (issuePortIn, idx) => 2333fd20becSczw val wbBusyIn = issuePortIn.bits.getVfWbBusyBundle 2343fd20becSczw val lw = vfWbBusyInSize(idx) 2353fd20becSczw val rw = vfWbBusyInSize(idx + 1) 2363fd20becSczw val arbiterInW = vfWbBusyArbiter.io.in.slice(lw, rw) 2373fd20becSczw arbiterInW.zip(wbBusyIn).foreach { 2383fd20becSczw case (sink, source) => 2393fd20becSczw sink.bits := DontCare 2403fd20becSczw sink.valid := issuePortIn.valid && source 2413fd20becSczw } 2422e0a7dc5Sfdy val notBlockFlag = if (rw > lw){ 2432e0a7dc5Sfdy val arbiterRes = arbiterInW.zip(wbBusyIn).map { 2443fd20becSczw case (sink, source) => sink.ready 2453fd20becSczw }.reduce(_ & _) 2462e0a7dc5Sfdy if(vfWbConflictReads(idx).isDefined) { 2472e0a7dc5Sfdy Mux(vfWbConflictReads(idx).get, arbiterRes, true.B) 2482e0a7dc5Sfdy }else arbiterRes 2492e0a7dc5Sfdy }else true.B 2502e0a7dc5Sfdy vfNotBlocksSeqW(idx) := notBlockFlag 2512e0a7dc5Sfdy 252b6b11f60SXuan Hu val readPortIn = issuePortIn.bits.getVfRfReadBundle 253730cfbc0SXuan Hu val l = vfReadPortInSize(idx) 254730cfbc0SXuan Hu val r = vfReadPortInSize(idx + 1) 255730cfbc0SXuan Hu val arbiterIn = vfRFReadArbiter.io.in.slice(l, r) 256730cfbc0SXuan Hu arbiterIn.zip(readPortIn).foreach { 257730cfbc0SXuan Hu case (sink, source) => 258730cfbc0SXuan Hu sink.bits.addr := source.addr 2592e0a7dc5Sfdy sink.valid := issuePortIn.valid && SrcType.isVfp(source.srcType) 260730cfbc0SXuan Hu } 261730cfbc0SXuan Hu if (r > l) { 262730cfbc0SXuan Hu vfBlocksSeq(idx) := !arbiterIn.zip(readPortIn).map { 263730cfbc0SXuan Hu case (sink, source) => Mux(SrcType.isVfp(source.srcType), sink.ready, true.B) 264730cfbc0SXuan Hu }.reduce(_ & _) 265730cfbc0SXuan Hu } 266730cfbc0SXuan Hu else { 267730cfbc0SXuan Hu vfBlocksSeq(idx) := false.B 268730cfbc0SXuan Hu } 269730cfbc0SXuan Hu } 2703fd20becSczw vfWbBusyArbiter.io.flush := io.flush 271730cfbc0SXuan Hu vfRFReadArbiter.io.flush := io.flush 272730cfbc0SXuan Hu 273730cfbc0SXuan Hu private val intSchdParams = params.schdParams(IntScheduler()) 274730cfbc0SXuan Hu private val vfSchdParams = params.schdParams(VfScheduler()) 275730cfbc0SXuan Hu private val memSchdParams = params.schdParams(MemScheduler()) 276730cfbc0SXuan Hu 277730cfbc0SXuan Hu private val numIntRfReadByExu = intSchdParams.numIntRfReadByExu + memSchdParams.numIntRfReadByExu 278730cfbc0SXuan Hu private val numVfRfReadByExu = vfSchdParams.numVfRfReadByExu + memSchdParams.numVfRfReadByExu 279730cfbc0SXuan Hu // Todo: limit read port 280730cfbc0SXuan Hu private val numIntR = numIntRfReadByExu 281730cfbc0SXuan Hu private val numVfR = numVfRfReadByExu 282730cfbc0SXuan Hu println(s"[DataPath] RegFile read req needed by Exu: Int(${numIntRfReadByExu}), Vf(${numVfRfReadByExu})") 283730cfbc0SXuan Hu println(s"[DataPath] RegFile read port: Int(${numIntR}), Vf(${numVfR})") 284730cfbc0SXuan Hu 285730cfbc0SXuan Hu private val schdParams = params.allSchdParams 286730cfbc0SXuan Hu 287730cfbc0SXuan Hu private val intRfRaddr = Wire(Vec(params.numRfRead, UInt(intSchdParams.pregIdxWidth.W))) 288730cfbc0SXuan Hu private val intRfRdata = Wire(Vec(params.numRfRead, UInt(intSchdParams.rfDataWidth.W))) 289730cfbc0SXuan Hu private val intRfWen = Wire(Vec(io.fromIntWb.length, Bool())) 290730cfbc0SXuan Hu private val intRfWaddr = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.pregIdxWidth.W))) 291730cfbc0SXuan Hu private val intRfWdata = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.rfDataWidth.W))) 292730cfbc0SXuan Hu 293730cfbc0SXuan Hu private val vfRfSplitNum = VLEN / XLEN 294730cfbc0SXuan Hu private val vfRfRaddr = Wire(Vec(params.numRfRead, UInt(vfSchdParams.pregIdxWidth.W))) 295730cfbc0SXuan Hu private val vfRfRdata = Wire(Vec(params.numRfRead, UInt(vfSchdParams.rfDataWidth.W))) 296730cfbc0SXuan Hu private val vfRfWen = Wire(Vec(vfRfSplitNum, Vec(io.fromVfWb.length, Bool()))) 297730cfbc0SXuan Hu private val vfRfWaddr = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.pregIdxWidth.W))) 298730cfbc0SXuan Hu private val vfRfWdata = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.rfDataWidth.W))) 299730cfbc0SXuan Hu 300730cfbc0SXuan Hu private val intDebugRead: Option[(Vec[UInt], Vec[UInt])] = 301730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 302730cfbc0SXuan Hu Some(Wire(Vec(32, UInt(intSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W)))) 303730cfbc0SXuan Hu } else { None } 304730cfbc0SXuan Hu private val vfDebugRead: Option[(Vec[UInt], Vec[UInt])] = 305730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 306a8db15d8Sfdy Some(Wire(Vec(32 + 32 + 1, UInt(vfSchdParams.pregIdxWidth.W))), Wire(Vec(32 + 32 + 1, UInt(VLEN.W)))) 307730cfbc0SXuan Hu } else { None } 308730cfbc0SXuan Hu 309730cfbc0SXuan Hu private val fpDebugReadData: Option[Vec[UInt]] = 310730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 311730cfbc0SXuan Hu Some(Wire(Vec(32, UInt(XLEN.W)))) 312730cfbc0SXuan Hu } else { None } 313730cfbc0SXuan Hu private val vecDebugReadData: Option[Vec[UInt]] = 314730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 315730cfbc0SXuan Hu Some(Wire(Vec(64, UInt(64.W)))) // v0 = Cat(Vec(1), Vec(0)) 316730cfbc0SXuan Hu } else { None } 317e2e5f6b0SXuan Hu private val vconfigDebugReadData: Option[UInt] = 318e2e5f6b0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 319e2e5f6b0SXuan Hu Some(Wire(UInt(64.W))) 320e2e5f6b0SXuan Hu } else { None } 321e2e5f6b0SXuan Hu 322730cfbc0SXuan Hu 323730cfbc0SXuan Hu fpDebugReadData.foreach(_ := vfDebugRead 324730cfbc0SXuan Hu .get._2 325730cfbc0SXuan Hu .slice(0, 32) 326730cfbc0SXuan Hu .map(_(63, 0)) 327730cfbc0SXuan Hu ) // fp only used [63, 0] 328730cfbc0SXuan Hu vecDebugReadData.foreach(_ := vfDebugRead 329730cfbc0SXuan Hu .get._2 330730cfbc0SXuan Hu .slice(32, 64) 331730cfbc0SXuan Hu .map(x => Seq(x(63, 0), x(127, 64))).flatten 332730cfbc0SXuan Hu ) 333e2e5f6b0SXuan Hu vconfigDebugReadData.foreach(_ := vfDebugRead 334e2e5f6b0SXuan Hu .get._2(64)(63, 0) 335e2e5f6b0SXuan Hu ) 336730cfbc0SXuan Hu 337e2e5f6b0SXuan Hu io.debugVconfig := vconfigDebugReadData.get 338a8db15d8Sfdy 339730cfbc0SXuan Hu IntRegFile("IntRegFile", intSchdParams.numPregs, intRfRaddr, intRfRdata, intRfWen, intRfWaddr, intRfWdata, 340730cfbc0SXuan Hu debugReadAddr = intDebugRead.map(_._1), 341730cfbc0SXuan Hu debugReadData = intDebugRead.map(_._2)) 342730cfbc0SXuan Hu VfRegFile("VfRegFile", vfSchdParams.numPregs, vfRfSplitNum, vfRfRaddr, vfRfRdata, vfRfWen, vfRfWaddr, vfRfWdata, 343730cfbc0SXuan Hu debugReadAddr = vfDebugRead.map(_._1), 344730cfbc0SXuan Hu debugReadData = vfDebugRead.map(_._2)) 345730cfbc0SXuan Hu 346730cfbc0SXuan Hu intRfWaddr := io.fromIntWb.map(_.addr) 347730cfbc0SXuan Hu intRfWdata := io.fromIntWb.map(_.data) 348730cfbc0SXuan Hu intRfWen := io.fromIntWb.map(_.wen) 349730cfbc0SXuan Hu 350730cfbc0SXuan Hu intRFReadArbiter.io.out.map(_.bits.addr).zip(intRfRaddr).foreach{ case(source, sink) => sink := source } 351730cfbc0SXuan Hu 352730cfbc0SXuan Hu vfRfWaddr := io.fromVfWb.map(_.addr) 353730cfbc0SXuan Hu vfRfWdata := io.fromVfWb.map(_.data) 354730cfbc0SXuan Hu vfRfWen.foreach(_.zip(io.fromVfWb.map(_.wen)).foreach { case (wenSink, wenSource) => wenSink := wenSource } )// Todo: support fp multi-write 355730cfbc0SXuan Hu 356730cfbc0SXuan Hu vfRFReadArbiter.io.out.map(_.bits.addr).zip(vfRfRaddr).foreach{ case(source, sink) => sink := source } 357d91483a6Sfdy vfRfRaddr(VCONFIG_PORT) := io.vconfigReadPort.addr 358d91483a6Sfdy io.vconfigReadPort.data := vfRfRdata(VCONFIG_PORT) 359730cfbc0SXuan Hu 360730cfbc0SXuan Hu intDebugRead.foreach { case (addr, _) => 361730cfbc0SXuan Hu addr := io.debugIntRat 362730cfbc0SXuan Hu } 363730cfbc0SXuan Hu 364730cfbc0SXuan Hu vfDebugRead.foreach { case (addr, _) => 365a8db15d8Sfdy addr := io.debugFpRat ++ io.debugVecRat :+ io.debugVconfigRat 366730cfbc0SXuan Hu } 367730cfbc0SXuan Hu println(s"[DataPath] " + 368730cfbc0SXuan Hu s"has intDebugRead: ${intDebugRead.nonEmpty}, " + 369730cfbc0SXuan Hu s"has vfDebugRead: ${vfDebugRead.nonEmpty}") 370730cfbc0SXuan Hu 371730cfbc0SXuan Hu val s1_addrOHs = Reg(MixedVec( 372730cfbc0SXuan Hu fromIQ.map(x => MixedVec(x.map(_.bits.addrOH.cloneType))) 373730cfbc0SXuan Hu )) 374730cfbc0SXuan Hu val s1_toExuValid: MixedVec[MixedVec[Bool]] = Reg(MixedVec( 375730cfbc0SXuan Hu toExu.map(x => MixedVec(x.map(_.valid.cloneType))) 376730cfbc0SXuan Hu )) 377730cfbc0SXuan Hu val s1_toExuData: MixedVec[MixedVec[ExuInput]] = Reg(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.cloneType))))) 378730cfbc0SXuan Hu val s1_toExuReady = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.ready.cloneType))))) // Todo 379730cfbc0SXuan Hu val s1_srcType: MixedVec[MixedVec[Vec[UInt]]] = MixedVecInit(fromIQ.map(x => MixedVecInit(x.map(xx => RegEnable(xx.bits.srcType, xx.fire))))) 380730cfbc0SXuan Hu 381730cfbc0SXuan Hu val s1_intPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType))))) 382730cfbc0SXuan Hu val s1_vfPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType))))) 383730cfbc0SXuan Hu 384730cfbc0SXuan Hu val rfrPortConfigs = schdParams.map(_.issueBlockParams).flatten.map(_.exuBlockParams.map(_.rfrPortConfigs)) 385730cfbc0SXuan Hu 386730cfbc0SXuan Hu println(s"[DataPath] s1_intPregRData.flatten.flatten.size: ${s1_intPregRData.flatten.flatten.size}, intRfRdata.size: ${intRfRdata.size}") 387730cfbc0SXuan Hu s1_intPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 388730cfbc0SXuan Hu s1_intPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) => 389730cfbc0SXuan Hu iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) => 390730cfbc0SXuan Hu val realIuCfg = iuCfg.map(x => if(x.size > 1) x.filter(_.isInstanceOf[IntRD]) else x).flatten 391730cfbc0SXuan Hu assert(iuRdata.size == realIuCfg.size, "iuRdata.size != realIuCfg.size") 392730cfbc0SXuan Hu iuRdata.zip(realIuCfg) 393730cfbc0SXuan Hu .filter { case (_, rfrPortConfig) => rfrPortConfig.isInstanceOf[IntRD] } 394730cfbc0SXuan Hu .foreach { case (sink, cfg) => sink := intRfRdata(cfg.port) } 395730cfbc0SXuan Hu } 396730cfbc0SXuan Hu } 397730cfbc0SXuan Hu 398730cfbc0SXuan Hu println(s"[DataPath] s1_vfPregRData.flatten.flatten.size: ${s1_vfPregRData.flatten.flatten.size}, vfRfRdata.size: ${vfRfRdata.size}") 399730cfbc0SXuan Hu s1_vfPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 400730cfbc0SXuan Hu s1_vfPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) => 401730cfbc0SXuan Hu iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) => 402730cfbc0SXuan Hu val realIuCfg = iuCfg.map(x => if(x.size > 1) x.filter(_.isInstanceOf[VfRD]) else x).flatten 403730cfbc0SXuan Hu assert(iuRdata.size == realIuCfg.size, "iuRdata.size != realIuCfg.size") 404730cfbc0SXuan Hu iuRdata.zip(realIuCfg) 405730cfbc0SXuan Hu .filter { case (_, rfrPortConfig) => rfrPortConfig.isInstanceOf[VfRD] } 406730cfbc0SXuan Hu .foreach { case (sink, cfg) => sink := vfRfRdata(cfg.port) } 407730cfbc0SXuan Hu } 408730cfbc0SXuan Hu } 409730cfbc0SXuan Hu 410730cfbc0SXuan Hu for (i <- fromIQ.indices) { 411730cfbc0SXuan Hu for (j <- fromIQ(i).indices) { 412730cfbc0SXuan Hu // IQ(s0) --[Ctrl]--> s1Reg ---------- begin 413730cfbc0SXuan Hu // refs 414730cfbc0SXuan Hu val s1_valid = s1_toExuValid(i)(j) 415730cfbc0SXuan Hu val s1_ready = s1_toExuReady(i)(j) 416730cfbc0SXuan Hu val s1_data = s1_toExuData(i)(j) 417730cfbc0SXuan Hu val s1_addrOH = s1_addrOHs(i)(j) 418730cfbc0SXuan Hu val s0 = fromIQ(i)(j) // s0 4193fd20becSczw val block = (intBlocks(i)(j) || !intNotBlocksW(i)(j)) || (vfBlocks(i)(j) || !vfNotBlocksW(i)(j)) 420730cfbc0SXuan Hu val s1_flush = s0.bits.common.robIdx.needFlush(Seq(io.flush, RegNextWithEnable(io.flush))) 421*c0be7f33SXuan Hu val s1_cancel = og1FailedVec2(i)(j) 422*c0be7f33SXuan Hu when (s0.fire && !s1_flush && !block && !s1_cancel) { 423730cfbc0SXuan Hu s1_valid := s0.valid 424730cfbc0SXuan Hu s1_data.fromIssueBundle(s0.bits) // no src data here 425730cfbc0SXuan Hu s1_addrOH := s0.bits.addrOH 426730cfbc0SXuan Hu }.otherwise { 427730cfbc0SXuan Hu s1_valid := false.B 428730cfbc0SXuan Hu } 4292e0a7dc5Sfdy dontTouch(block) 430730cfbc0SXuan Hu s0.ready := (s1_ready || !s1_valid) && !block 431730cfbc0SXuan Hu // IQ(s0) --[Ctrl]--> s1Reg ---------- end 432730cfbc0SXuan Hu 433730cfbc0SXuan Hu // IQ(s0) --[Data]--> s1Reg ---------- begin 434730cfbc0SXuan Hu // imm extract 435730cfbc0SXuan Hu when (s0.fire && !s1_flush && !block) { 436730cfbc0SXuan Hu if (s1_data.params.immType.nonEmpty && s1_data.src.size > 1) { 437730cfbc0SXuan Hu // rs1 is always int reg, rs2 may be imm 438730cfbc0SXuan Hu when(SrcType.isImm(s0.bits.srcType(1))) { 439730cfbc0SXuan Hu s1_data.src(1) := ImmExtractor( 440730cfbc0SXuan Hu s0.bits.common.imm, 441730cfbc0SXuan Hu s0.bits.immType, 442da778e6fSXuan Hu s1_data.params.dataBitsMax, 443730cfbc0SXuan Hu s1_data.params.immType.map(_.litValue) 444730cfbc0SXuan Hu ) 445730cfbc0SXuan Hu } 446730cfbc0SXuan Hu } 447730cfbc0SXuan Hu if (s1_data.params.hasJmpFu) { 448730cfbc0SXuan Hu when(SrcType.isPc(s0.bits.srcType(0))) { 449730cfbc0SXuan Hu s1_data.src(0) := SignExt(s0.bits.jmp.get.pc, XLEN) 450730cfbc0SXuan Hu } 451da778e6fSXuan Hu } else if (s1_data.params.hasVecFu) { 452da778e6fSXuan Hu // Fuck off riscv vector imm!!! Why not src1??? 453da778e6fSXuan Hu when(SrcType.isImm(s0.bits.srcType(0))) { 454da778e6fSXuan Hu s1_data.src(0) := ImmExtractor( 455da778e6fSXuan Hu s0.bits.common.imm, 456da778e6fSXuan Hu s0.bits.immType, 457da778e6fSXuan Hu s1_data.params.dataBitsMax, 458da778e6fSXuan Hu s1_data.params.immType.map(_.litValue) 459da778e6fSXuan Hu ) 460da778e6fSXuan Hu } 461730cfbc0SXuan Hu } 462730cfbc0SXuan Hu } 463730cfbc0SXuan Hu // IQ(s0) --[Data]--> s1Reg ---------- end 464730cfbc0SXuan Hu } 465730cfbc0SXuan Hu } 466730cfbc0SXuan Hu 467ea0f92d8Sczw private val fromIQFire = fromIQ.map(_.map(_.fire)) 468ea0f92d8Sczw private val toExuFire = toExu.map(_.map(_.fire)) 469730cfbc0SXuan Hu toIQs.zipWithIndex.foreach { 470730cfbc0SXuan Hu case(toIQ, iqIdx) => 471730cfbc0SXuan Hu toIQ.zipWithIndex.foreach { 472730cfbc0SXuan Hu case (toIU, iuIdx) => 473730cfbc0SXuan Hu // IU: issue unit 474730cfbc0SXuan Hu val og0resp = toIU.og0resp 475*c0be7f33SXuan Hu og0FailedVec2(iqIdx)(iuIdx) := fromIQ(iqIdx)(iuIdx).valid && (!fromIQFire(iqIdx)(iuIdx)) 476*c0be7f33SXuan Hu og0resp.valid := og0FailedVec2(iqIdx)(iuIdx) 477ea0f92d8Sczw og0resp.bits.respType := RSFeedbackType.rfArbitFail 478730cfbc0SXuan Hu og0resp.bits.addrOH := fromIQ(iqIdx)(iuIdx).bits.addrOH 4798d29ec32Sczw og0resp.bits.rfWen := fromIQ(iqIdx)(iuIdx).bits.common.rfWen.getOrElse(false.B) 4808d29ec32Sczw og0resp.bits.fuType := fromIQ(iqIdx)(iuIdx).bits.common.fuType 481730cfbc0SXuan Hu 482730cfbc0SXuan Hu val og1resp = toIU.og1resp 483*c0be7f33SXuan Hu og1FailedVec2(iqIdx)(iuIdx) := s1_toExuValid(iqIdx)(iuIdx) && !toExuFire(iqIdx)(iuIdx) 484730cfbc0SXuan Hu og1resp.valid := s1_toExuValid(iqIdx)(iuIdx) 485*c0be7f33SXuan Hu og1resp.bits.respType := Mux(!og1FailedVec2(iqIdx)(iuIdx), 486d54d930bSfdy if (toIU.issueQueueParams.isMemAddrIQ) RSFeedbackType.fuUncertain else RSFeedbackType.fuIdle, 487d54d930bSfdy RSFeedbackType.fuBusy) 488730cfbc0SXuan Hu og1resp.bits.addrOH := s1_addrOHs(iqIdx)(iuIdx) 4898d29ec32Sczw og1resp.bits.rfWen := s1_toExuData(iqIdx)(iuIdx).rfWen.getOrElse(false.B) 4908d29ec32Sczw og1resp.bits.fuType := s1_toExuData(iqIdx)(iuIdx).fuType 491730cfbc0SXuan Hu } 492730cfbc0SXuan Hu } 4938a00ff56SXuan Hu 494*c0be7f33SXuan Hu io.toIQCancelVec.zipWithIndex.foreach { case (cancelBundle: IssueQueueCancelBundle, i) => 495*c0be7f33SXuan Hu og0FailedVec(i) := (fromIQ.flatten.find(_.bits.exuIdx == cancelBundle.exuIdx).get match { case x => x.valid && !x.fire }) 496*c0be7f33SXuan Hu og1FailedVec(i) := (toExu.flatten.find(_.bits.exuIdx == cancelBundle.exuIdx).get match { case x => x.valid && !x.fire }) 497*c0be7f33SXuan Hu cancelBundle("OG0") := og0FailedVec(i) 498*c0be7f33SXuan Hu cancelBundle("OG1") := og1FailedVec(i) 499*c0be7f33SXuan Hu cancelBundle("IS") := false.B 500*c0be7f33SXuan Hu } 501*c0be7f33SXuan Hu 502730cfbc0SXuan Hu for (i <- toExu.indices) { 503730cfbc0SXuan Hu for (j <- toExu(i).indices) { 504730cfbc0SXuan Hu // s1Reg --[Ctrl]--> exu(s1) ---------- begin 505730cfbc0SXuan Hu // refs 506730cfbc0SXuan Hu val sinkData = toExu(i)(j).bits 507730cfbc0SXuan Hu // assign 508730cfbc0SXuan Hu toExu(i)(j).valid := s1_toExuValid(i)(j) 509730cfbc0SXuan Hu s1_toExuReady(i)(j) := toExu(i)(j).ready 510730cfbc0SXuan Hu sinkData := s1_toExuData(i)(j) 511730cfbc0SXuan Hu // s1Reg --[Ctrl]--> exu(s1) ---------- end 512730cfbc0SXuan Hu 513730cfbc0SXuan Hu // s1Reg --[Data]--> exu(s1) ---------- begin 514730cfbc0SXuan Hu // data source1: preg read data 515730cfbc0SXuan Hu for (k <- sinkData.src.indices) { 516730cfbc0SXuan Hu val srcDataTypeSet: Set[DataConfig] = sinkData.params.getSrcDataType(k) 517730cfbc0SXuan Hu 518730cfbc0SXuan Hu val readRfMap: Seq[(Bool, UInt)] = (Seq(None) :+ 519730cfbc0SXuan Hu (if (s1_intPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(IntRegSrcDataSet).nonEmpty) 520730cfbc0SXuan Hu Some(SrcType.isXp(s1_srcType(i)(j)(k)) -> s1_intPregRData(i)(j)(k)) 521730cfbc0SXuan Hu else None) :+ 522730cfbc0SXuan Hu (if (s1_vfPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VfRegSrcDataSet).nonEmpty) 523730cfbc0SXuan Hu Some(SrcType.isVfp(s1_srcType(i)(j)(k))-> s1_vfPregRData(i)(j)(k)) 524730cfbc0SXuan Hu else None) 525730cfbc0SXuan Hu ).filter(_.nonEmpty).map(_.get) 526730cfbc0SXuan Hu if (readRfMap.nonEmpty) 527730cfbc0SXuan Hu sinkData.src(k) := Mux1H(readRfMap) 528730cfbc0SXuan Hu } 529730cfbc0SXuan Hu 530730cfbc0SXuan Hu // data source2: extracted imm and pc saved in s1Reg 531730cfbc0SXuan Hu if (sinkData.params.immType.nonEmpty && sinkData.src.size > 1) { 532730cfbc0SXuan Hu when(SrcType.isImm(s1_srcType(i)(j)(1))) { 533730cfbc0SXuan Hu sinkData.src(1) := s1_toExuData(i)(j).src(1) 534730cfbc0SXuan Hu } 535730cfbc0SXuan Hu } 536730cfbc0SXuan Hu if (sinkData.params.hasJmpFu) { 537730cfbc0SXuan Hu when(SrcType.isPc(s1_srcType(i)(j)(0))) { 538730cfbc0SXuan Hu sinkData.src(0) := s1_toExuData(i)(j).src(0) 539730cfbc0SXuan Hu } 540da778e6fSXuan Hu } else if (sinkData.params.hasVecFu) { 541da778e6fSXuan Hu when(SrcType.isImm(s1_srcType(i)(j)(0))) { 542da778e6fSXuan Hu sinkData.src(0) := s1_toExuData(i)(j).src(0) 543da778e6fSXuan Hu } 544730cfbc0SXuan Hu } 545730cfbc0SXuan Hu // s1Reg --[Data]--> exu(s1) ---------- end 546730cfbc0SXuan Hu } 547730cfbc0SXuan Hu } 548730cfbc0SXuan Hu 549730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 550730cfbc0SXuan Hu val delayedCnt = 2 551730cfbc0SXuan Hu val difftestArchIntRegState = Module(new DifftestArchIntRegState) 552730cfbc0SXuan Hu difftestArchIntRegState.io.clock := clock 553730cfbc0SXuan Hu difftestArchIntRegState.io.coreid := io.hartId 554730cfbc0SXuan Hu difftestArchIntRegState.io.gpr := DelayN(intDebugRead.get._2, delayedCnt) 555730cfbc0SXuan Hu 556730cfbc0SXuan Hu val difftestArchFpRegState = Module(new DifftestArchFpRegState) 557730cfbc0SXuan Hu difftestArchFpRegState.io.clock := clock 558730cfbc0SXuan Hu difftestArchFpRegState.io.coreid := io.hartId 559730cfbc0SXuan Hu difftestArchFpRegState.io.fpr := DelayN(fpDebugReadData.get, delayedCnt) 560730cfbc0SXuan Hu 561730cfbc0SXuan Hu val difftestArchVecRegState = Module(new DifftestArchVecRegState) 562730cfbc0SXuan Hu difftestArchVecRegState.io.clock := clock 563730cfbc0SXuan Hu difftestArchVecRegState.io.coreid := io.hartId 564730cfbc0SXuan Hu difftestArchVecRegState.io.vpr := DelayN(vecDebugReadData.get, delayedCnt) 565730cfbc0SXuan Hu } 566730cfbc0SXuan Hu} 567730cfbc0SXuan Hu 568730cfbc0SXuan Huclass DataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle { 569730cfbc0SXuan Hu // params 570730cfbc0SXuan Hu private val intSchdParams = params.schdParams(IntScheduler()) 571730cfbc0SXuan Hu private val vfSchdParams = params.schdParams(VfScheduler()) 572730cfbc0SXuan Hu private val memSchdParams = params.schdParams(MemScheduler()) 573*c0be7f33SXuan Hu private val exuParams = params.allExuParams 574730cfbc0SXuan Hu // bundles 575730cfbc0SXuan Hu val hartId = Input(UInt(8.W)) 576730cfbc0SXuan Hu 577730cfbc0SXuan Hu val flush: ValidIO[Redirect] = Flipped(ValidIO(new Redirect)) 578730cfbc0SXuan Hu 579e2e5f6b0SXuan Hu // Todo: check if this can be removed 580d91483a6Sfdy val vconfigReadPort = new RfReadPort(XLEN, PhyRegIdxWidth) 581d91483a6Sfdy 5822e0a7dc5Sfdy val wbConfictRead = Input(MixedVec(params.allSchdParams.map(x => MixedVec(x.issueBlockParams.map(x => x.genWbConflictBundle()))))) 5832e0a7dc5Sfdy 584730cfbc0SXuan Hu val fromIntIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 585730cfbc0SXuan Hu Flipped(MixedVec(intSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 586730cfbc0SXuan Hu 587730cfbc0SXuan Hu val fromMemIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 588730cfbc0SXuan Hu Flipped(MixedVec(memSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 589730cfbc0SXuan Hu 590730cfbc0SXuan Hu val fromVfIQ = Flipped(MixedVec(vfSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 591730cfbc0SXuan Hu 592730cfbc0SXuan Hu val toIntIQ = MixedVec(intSchdParams.issueBlockParams.map(_.genOGRespBundle)) 593730cfbc0SXuan Hu 594730cfbc0SXuan Hu val toMemIQ = MixedVec(memSchdParams.issueBlockParams.map(_.genOGRespBundle)) 595730cfbc0SXuan Hu 596730cfbc0SXuan Hu val toVfIQ = MixedVec(vfSchdParams.issueBlockParams.map(_.genOGRespBundle)) 597730cfbc0SXuan Hu 598*c0be7f33SXuan Hu val toIQCancelVec = Output(MixedVec(exuParams.map(x => new IssueQueueCancelBundle(x.exuIdx, cancelStages)))) 599*c0be7f33SXuan Hu 600730cfbc0SXuan Hu val toIntExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = intSchdParams.genExuInputBundle 601730cfbc0SXuan Hu 602730cfbc0SXuan Hu val toFpExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(vfSchdParams.genExuInputBundle) 603730cfbc0SXuan Hu 604730cfbc0SXuan Hu val toMemExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = memSchdParams.genExuInputBundle 605730cfbc0SXuan Hu 606730cfbc0SXuan Hu val fromIntWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genIntWriteBackBundle) 607730cfbc0SXuan Hu 608730cfbc0SXuan Hu val fromVfWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVfWriteBackBundle) 609730cfbc0SXuan Hu 610bf35baadSXuan Hu val fromIntExus = Flipped(intSchdParams.genExuOutputValidBundle) 611bf35baadSXuan Hu 612bf35baadSXuan Hu val fromVfExus = Flipped(intSchdParams.genExuOutputValidBundle) 613bf35baadSXuan Hu 614730cfbc0SXuan Hu val debugIntRat = Input(Vec(32, UInt(intSchdParams.pregIdxWidth.W))) 615730cfbc0SXuan Hu val debugFpRat = Input(Vec(32, UInt(vfSchdParams.pregIdxWidth.W))) 616730cfbc0SXuan Hu val debugVecRat = Input(Vec(32, UInt(vfSchdParams.pregIdxWidth.W))) 617a8db15d8Sfdy val debugVconfigRat = Input(UInt(vfSchdParams.pregIdxWidth.W)) 618a8db15d8Sfdy val debugVconfig = Output(UInt(XLEN.W)) 619a8db15d8Sfdy 620730cfbc0SXuan Hu} 621