1730cfbc0SXuan Hupackage xiangshan.backend.datapath 2730cfbc0SXuan Hu 3730cfbc0SXuan Huimport chipsalliance.rocketchip.config.Parameters 4*39c59369SXuan Huimport chisel3._ 5730cfbc0SXuan Huimport chisel3.util._ 6730cfbc0SXuan Huimport difftest.{DifftestArchFpRegState, DifftestArchIntRegState, DifftestArchVecRegState} 7730cfbc0SXuan Huimport freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 8730cfbc0SXuan Huimport utility._ 9*39c59369SXuan Huimport utils.SeqUtils._ 10730cfbc0SXuan Huimport xiangshan._ 11730cfbc0SXuan Huimport xiangshan.backend.BackendParams 12*39c59369SXuan Huimport xiangshan.backend.Bundles._ 13730cfbc0SXuan Huimport xiangshan.backend.datapath.DataConfig._ 14730cfbc0SXuan Huimport xiangshan.backend.datapath.RdConfig._ 15730cfbc0SXuan Huimport xiangshan.backend.issue.{ImmExtractor, IntScheduler, MemScheduler, VfScheduler} 16730cfbc0SXuan Huimport xiangshan.backend.regfile._ 17730cfbc0SXuan Hu 18730cfbc0SXuan Huclass DataPath(params: BackendParams)(implicit p: Parameters) extends LazyModule { 19730cfbc0SXuan Hu private implicit val dpParams: BackendParams = params 20730cfbc0SXuan Hu lazy val module = new DataPathImp(this) 21*39c59369SXuan Hu 22*39c59369SXuan Hu println(s"[DataPath] Preg Params: ") 23*39c59369SXuan Hu println(s"[DataPath] Int R(${params.getRfReadSize(IntData())}), W(${params.getRfWriteSize(IntData())}) ") 24*39c59369SXuan Hu println(s"[DataPath] Vf R(${params.getRfReadSize(VecData())}), W(${params.getRfWriteSize(VecData())}) ") 25730cfbc0SXuan Hu} 26730cfbc0SXuan Hu 27730cfbc0SXuan Huclass DataPathImp(override val wrapper: DataPath)(implicit p: Parameters, params: BackendParams) 28730cfbc0SXuan Hu extends LazyModuleImp(wrapper) with HasXSParameter { 29730cfbc0SXuan Hu 30d91483a6Sfdy private val VCONFIG_PORT = params.vconfigPort 31d91483a6Sfdy 32730cfbc0SXuan Hu val io = IO(new DataPathIO()) 33730cfbc0SXuan Hu 34730cfbc0SXuan Hu private val (fromIntIQ, toIntIQ, toIntExu) = (io.fromIntIQ, io.toIntIQ, io.toIntExu) 35730cfbc0SXuan Hu private val (fromMemIQ, toMemIQ, toMemExu) = (io.fromMemIQ, io.toMemIQ, io.toMemExu) 36730cfbc0SXuan Hu private val (fromVfIQ , toVfIQ , toVfExu ) = (io.fromVfIQ , io.toVfIQ , io.toFpExu) 37bf35baadSXuan Hu private val (fromIntExus, fromVfExus) = (io.fromIntExus, io.fromVfExus) 38730cfbc0SXuan Hu 39730cfbc0SXuan Hu println(s"[DataPath] IntIQ(${fromIntIQ.size}), MemIQ(${fromMemIQ.size})") 40730cfbc0SXuan Hu println(s"[DataPath] IntExu(${fromIntIQ.map(_.size).sum}), MemExu(${fromMemIQ.map(_.size).sum})") 41730cfbc0SXuan Hu 42730cfbc0SXuan Hu // just refences for convience 43730cfbc0SXuan Hu private val fromIQ = fromIntIQ ++ fromVfIQ ++ fromMemIQ 44730cfbc0SXuan Hu 45730cfbc0SXuan Hu private val toIQs = toIntIQ ++ toVfIQ ++ toMemIQ 46730cfbc0SXuan Hu 47730cfbc0SXuan Hu private val toExu = toIntExu ++ toVfExu ++ toMemExu 48730cfbc0SXuan Hu 49bf35baadSXuan Hu private val fromExus = fromIntExus ++ fromVfExus 50bf35baadSXuan Hu 5110fe9778SXuan Hu private val fromFlattenIQ: Seq[DecoupledIO[IssueQueueIssueBundle]] = fromIQ.flatten 5210fe9778SXuan Hu 5310fe9778SXuan Hu private val toFlattenExu: Seq[DecoupledIO[ExuInput]] = toExu.flatten 5410fe9778SXuan Hu 55*39c59369SXuan Hu private val intWbBusyArbiter = Module(new IntRFWBCollideChecker(backendParams)) 56*39c59369SXuan Hu private val vfWbBusyArbiter = Module(new VfRFWBCollideChecker(backendParams)) 57*39c59369SXuan Hu private val intRFReadArbiter = Module(new IntRFReadArbiter(backendParams)) 58*39c59369SXuan Hu private val vfRFReadArbiter = Module(new VfRFReadArbiter(backendParams)) 59730cfbc0SXuan Hu 60c0be7f33SXuan Hu private val og0FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())))) 61c0be7f33SXuan Hu private val og1FailedVec2: MixedVec[Vec[Bool]] = Wire(MixedVec(fromIQ.map(x => Vec(x.size, Bool())))) 62c0be7f33SXuan Hu 63*39c59369SXuan Hu // port -> win 64*39c59369SXuan Hu private val intRdArbWinner: Seq2[MixedVec[Bool]] = intRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready)))) 65*39c59369SXuan Hu private val vfRdArbWinner: Seq2[MixedVec[Bool]] = vfRFReadArbiter.io.in.map(_.map(x => MixedVecInit(x.map(_.ready)))) 66*39c59369SXuan Hu private val intWbNotBlock: Seq[MixedVec[Bool]] = intWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready))) 67*39c59369SXuan Hu private val vfWbNotBlock: Seq[MixedVec[Bool]] = vfWbBusyArbiter.io.in.map(x => MixedVecInit(x.map(_.ready))) 68730cfbc0SXuan Hu 69*39c59369SXuan Hu private val intRdNotBlock: Seq2[Bool] = intRdArbWinner.map(_.map(_.asUInt.andR)) 70*39c59369SXuan Hu private val vfRdNotBlock: Seq2[Bool] = vfRdArbWinner.map(_.map(_.asUInt.andR)) 71730cfbc0SXuan Hu 72*39c59369SXuan Hu private val intRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getIntRfReadValidBundle(xx.valid))) 73b6b11f60SXuan Hu 74*39c59369SXuan Hu intRFReadArbiter.io.in.zip(intRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) => 75*39c59369SXuan Hu arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) => 76*39c59369SXuan Hu val srcIndices: Seq[Int] = fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(IntData()) 77*39c59369SXuan Hu for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) { 78*39c59369SXuan Hu if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) { 79*39c59369SXuan Hu arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid 80*39c59369SXuan Hu arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr 81*39c59369SXuan Hu } else { 82*39c59369SXuan Hu arbInSeq(srcIdx).valid := false.B 83*39c59369SXuan Hu arbInSeq(srcIdx).bits.addr := 0.U 843fd20becSczw } 85*39c59369SXuan Hu } 86*39c59369SXuan Hu } 87*39c59369SXuan Hu } 882e0a7dc5Sfdy 89*39c59369SXuan Hu private val vfRFReadReq: Seq3[ValidIO[RfReadPortWithConfig]] = fromIQ.map(x => x.map(xx => xx.bits.getVfRfReadValidBundle(xx.valid))) 90*39c59369SXuan Hu 91*39c59369SXuan Hu vfRFReadArbiter.io.in.zip(vfRFReadReq).zipWithIndex.foreach { case ((arbInSeq2, inRFReadReqSeq2), iqIdx) => 92*39c59369SXuan Hu arbInSeq2.zip(inRFReadReqSeq2).zipWithIndex.foreach { case ((arbInSeq, inRFReadReqSeq), exuIdx) => 93*39c59369SXuan Hu val srcIndices: Seq[Int] = VfRegSrcDataSet.flatMap(data => fromIQ(iqIdx)(exuIdx).bits.exuParams.getRfReadSrcIdx(data)).toSeq.sorted 94*39c59369SXuan Hu for (srcIdx <- 0 until fromIQ(iqIdx)(exuIdx).bits.exuParams.numRegSrc) { 95*39c59369SXuan Hu if (srcIndices.contains(srcIdx) && inRFReadReqSeq.isDefinedAt(srcIdx)) { 96*39c59369SXuan Hu arbInSeq(srcIdx).valid := inRFReadReqSeq(srcIdx).valid 97*39c59369SXuan Hu arbInSeq(srcIdx).bits.addr := inRFReadReqSeq(srcIdx).bits.addr 98*39c59369SXuan Hu } else { 99*39c59369SXuan Hu arbInSeq(srcIdx).valid := false.B 100*39c59369SXuan Hu arbInSeq(srcIdx).bits.addr := 0.U 101730cfbc0SXuan Hu } 102730cfbc0SXuan Hu } 103*39c59369SXuan Hu } 104*39c59369SXuan Hu } 105*39c59369SXuan Hu 106*39c59369SXuan Hu private val intRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.rfWen.getOrElse(false.B))) 107*39c59369SXuan Hu private val vfRFWriteReq: Seq2[Bool] = fromIQ.map(x => x.map(xx => xx.valid && xx.bits.common.getVfWen.getOrElse(false.B))) 108*39c59369SXuan Hu 109*39c59369SXuan Hu intWbBusyArbiter.io.in.zip(intRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) => 110*39c59369SXuan Hu arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) => 111*39c59369SXuan Hu arbIn.valid := inRFWriteReq 112*39c59369SXuan Hu } 113*39c59369SXuan Hu } 114*39c59369SXuan Hu 115*39c59369SXuan Hu vfWbBusyArbiter.io.in.zip(vfRFWriteReq).foreach { case (arbInSeq, inRFWriteReqSeq) => 116*39c59369SXuan Hu arbInSeq.zip(inRFWriteReqSeq).foreach { case (arbIn, inRFWriteReq) => 117*39c59369SXuan Hu arbIn.valid := inRFWriteReq 118*39c59369SXuan Hu } 119*39c59369SXuan Hu } 120730cfbc0SXuan Hu 121730cfbc0SXuan Hu private val intSchdParams = params.schdParams(IntScheduler()) 122730cfbc0SXuan Hu private val vfSchdParams = params.schdParams(VfScheduler()) 123730cfbc0SXuan Hu private val memSchdParams = params.schdParams(MemScheduler()) 124730cfbc0SXuan Hu 125730cfbc0SXuan Hu private val numIntRfReadByExu = intSchdParams.numIntRfReadByExu + memSchdParams.numIntRfReadByExu 126730cfbc0SXuan Hu private val numVfRfReadByExu = vfSchdParams.numVfRfReadByExu + memSchdParams.numVfRfReadByExu 127730cfbc0SXuan Hu // Todo: limit read port 128730cfbc0SXuan Hu private val numIntR = numIntRfReadByExu 129730cfbc0SXuan Hu private val numVfR = numVfRfReadByExu 130730cfbc0SXuan Hu println(s"[DataPath] RegFile read req needed by Exu: Int(${numIntRfReadByExu}), Vf(${numVfRfReadByExu})") 131730cfbc0SXuan Hu println(s"[DataPath] RegFile read port: Int(${numIntR}), Vf(${numVfR})") 132730cfbc0SXuan Hu 133730cfbc0SXuan Hu private val schdParams = params.allSchdParams 134730cfbc0SXuan Hu 135*39c59369SXuan Hu private val intRfRaddr = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.pregIdxWidth.W))) 136*39c59369SXuan Hu private val intRfRdata = Wire(Vec(params.numPregRd(IntData()), UInt(intSchdParams.rfDataWidth.W))) 137730cfbc0SXuan Hu private val intRfWen = Wire(Vec(io.fromIntWb.length, Bool())) 138730cfbc0SXuan Hu private val intRfWaddr = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.pregIdxWidth.W))) 139730cfbc0SXuan Hu private val intRfWdata = Wire(Vec(io.fromIntWb.length, UInt(intSchdParams.rfDataWidth.W))) 140730cfbc0SXuan Hu 141730cfbc0SXuan Hu private val vfRfSplitNum = VLEN / XLEN 142*39c59369SXuan Hu private val vfRfRaddr = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.pregIdxWidth.W))) 143*39c59369SXuan Hu private val vfRfRdata = Wire(Vec(params.numPregRd(VecData()), UInt(vfSchdParams.rfDataWidth.W))) 144730cfbc0SXuan Hu private val vfRfWen = Wire(Vec(vfRfSplitNum, Vec(io.fromVfWb.length, Bool()))) 145730cfbc0SXuan Hu private val vfRfWaddr = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.pregIdxWidth.W))) 146730cfbc0SXuan Hu private val vfRfWdata = Wire(Vec(io.fromVfWb.length, UInt(vfSchdParams.rfDataWidth.W))) 147730cfbc0SXuan Hu 148730cfbc0SXuan Hu private val intDebugRead: Option[(Vec[UInt], Vec[UInt])] = 149730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 150730cfbc0SXuan Hu Some(Wire(Vec(32, UInt(intSchdParams.pregIdxWidth.W))), Wire(Vec(32, UInt(XLEN.W)))) 151730cfbc0SXuan Hu } else { None } 152730cfbc0SXuan Hu private val vfDebugRead: Option[(Vec[UInt], Vec[UInt])] = 153730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 154a8db15d8Sfdy Some(Wire(Vec(32 + 32 + 1, UInt(vfSchdParams.pregIdxWidth.W))), Wire(Vec(32 + 32 + 1, UInt(VLEN.W)))) 155730cfbc0SXuan Hu } else { None } 156730cfbc0SXuan Hu 157730cfbc0SXuan Hu private val fpDebugReadData: Option[Vec[UInt]] = 158730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 159730cfbc0SXuan Hu Some(Wire(Vec(32, UInt(XLEN.W)))) 160730cfbc0SXuan Hu } else { None } 161730cfbc0SXuan Hu private val vecDebugReadData: Option[Vec[UInt]] = 162730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 163730cfbc0SXuan Hu Some(Wire(Vec(64, UInt(64.W)))) // v0 = Cat(Vec(1), Vec(0)) 164730cfbc0SXuan Hu } else { None } 165e2e5f6b0SXuan Hu private val vconfigDebugReadData: Option[UInt] = 166e2e5f6b0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 167e2e5f6b0SXuan Hu Some(Wire(UInt(64.W))) 168e2e5f6b0SXuan Hu } else { None } 169e2e5f6b0SXuan Hu 170730cfbc0SXuan Hu 171730cfbc0SXuan Hu fpDebugReadData.foreach(_ := vfDebugRead 172730cfbc0SXuan Hu .get._2 173730cfbc0SXuan Hu .slice(0, 32) 174730cfbc0SXuan Hu .map(_(63, 0)) 175730cfbc0SXuan Hu ) // fp only used [63, 0] 176730cfbc0SXuan Hu vecDebugReadData.foreach(_ := vfDebugRead 177730cfbc0SXuan Hu .get._2 178730cfbc0SXuan Hu .slice(32, 64) 179730cfbc0SXuan Hu .map(x => Seq(x(63, 0), x(127, 64))).flatten 180730cfbc0SXuan Hu ) 181e2e5f6b0SXuan Hu vconfigDebugReadData.foreach(_ := vfDebugRead 182e2e5f6b0SXuan Hu .get._2(64)(63, 0) 183e2e5f6b0SXuan Hu ) 184730cfbc0SXuan Hu 185e2e5f6b0SXuan Hu io.debugVconfig := vconfigDebugReadData.get 186a8db15d8Sfdy 187730cfbc0SXuan Hu IntRegFile("IntRegFile", intSchdParams.numPregs, intRfRaddr, intRfRdata, intRfWen, intRfWaddr, intRfWdata, 188730cfbc0SXuan Hu debugReadAddr = intDebugRead.map(_._1), 189730cfbc0SXuan Hu debugReadData = intDebugRead.map(_._2)) 190730cfbc0SXuan Hu VfRegFile("VfRegFile", vfSchdParams.numPregs, vfRfSplitNum, vfRfRaddr, vfRfRdata, vfRfWen, vfRfWaddr, vfRfWdata, 191730cfbc0SXuan Hu debugReadAddr = vfDebugRead.map(_._1), 192730cfbc0SXuan Hu debugReadData = vfDebugRead.map(_._2)) 193730cfbc0SXuan Hu 194730cfbc0SXuan Hu intRfWaddr := io.fromIntWb.map(_.addr) 195730cfbc0SXuan Hu intRfWdata := io.fromIntWb.map(_.data) 196730cfbc0SXuan Hu intRfWen := io.fromIntWb.map(_.wen) 197730cfbc0SXuan Hu 198*39c59369SXuan Hu for (portIdx <- intRfRaddr.indices) { 199*39c59369SXuan Hu if (intRFReadArbiter.io.out.isDefinedAt(portIdx)) 200*39c59369SXuan Hu intRfRaddr(portIdx) := intRFReadArbiter.io.out(portIdx).bits.addr 201*39c59369SXuan Hu else 202*39c59369SXuan Hu intRfRaddr(portIdx) := 0.U 203*39c59369SXuan Hu } 204730cfbc0SXuan Hu 205730cfbc0SXuan Hu vfRfWaddr := io.fromVfWb.map(_.addr) 206730cfbc0SXuan Hu vfRfWdata := io.fromVfWb.map(_.data) 207730cfbc0SXuan Hu vfRfWen.foreach(_.zip(io.fromVfWb.map(_.wen)).foreach { case (wenSink, wenSource) => wenSink := wenSource } )// Todo: support fp multi-write 208730cfbc0SXuan Hu 209*39c59369SXuan Hu for (portIdx <- vfRfRaddr.indices) { 210*39c59369SXuan Hu if (vfRFReadArbiter.io.out.isDefinedAt(portIdx)) 211*39c59369SXuan Hu vfRfRaddr(portIdx) := vfRFReadArbiter.io.out(portIdx).bits.addr 212*39c59369SXuan Hu else 213*39c59369SXuan Hu vfRfRaddr(portIdx) := 0.U 214*39c59369SXuan Hu } 215*39c59369SXuan Hu 216d91483a6Sfdy vfRfRaddr(VCONFIG_PORT) := io.vconfigReadPort.addr 217d91483a6Sfdy io.vconfigReadPort.data := vfRfRdata(VCONFIG_PORT) 218730cfbc0SXuan Hu 219730cfbc0SXuan Hu intDebugRead.foreach { case (addr, _) => 220730cfbc0SXuan Hu addr := io.debugIntRat 221730cfbc0SXuan Hu } 222730cfbc0SXuan Hu 223730cfbc0SXuan Hu vfDebugRead.foreach { case (addr, _) => 224a8db15d8Sfdy addr := io.debugFpRat ++ io.debugVecRat :+ io.debugVconfigRat 225730cfbc0SXuan Hu } 226730cfbc0SXuan Hu println(s"[DataPath] " + 227730cfbc0SXuan Hu s"has intDebugRead: ${intDebugRead.nonEmpty}, " + 228730cfbc0SXuan Hu s"has vfDebugRead: ${vfDebugRead.nonEmpty}") 229730cfbc0SXuan Hu 230730cfbc0SXuan Hu val s1_addrOHs = Reg(MixedVec( 231730cfbc0SXuan Hu fromIQ.map(x => MixedVec(x.map(_.bits.addrOH.cloneType))) 232730cfbc0SXuan Hu )) 233730cfbc0SXuan Hu val s1_toExuValid: MixedVec[MixedVec[Bool]] = Reg(MixedVec( 234730cfbc0SXuan Hu toExu.map(x => MixedVec(x.map(_.valid.cloneType))) 235730cfbc0SXuan Hu )) 236730cfbc0SXuan Hu val s1_toExuData: MixedVec[MixedVec[ExuInput]] = Reg(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.cloneType))))) 237730cfbc0SXuan Hu val s1_toExuReady = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.ready.cloneType))))) // Todo 238730cfbc0SXuan Hu val s1_srcType: MixedVec[MixedVec[Vec[UInt]]] = MixedVecInit(fromIQ.map(x => MixedVecInit(x.map(xx => RegEnable(xx.bits.srcType, xx.fire))))) 239730cfbc0SXuan Hu 240730cfbc0SXuan Hu val s1_intPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType))))) 241730cfbc0SXuan Hu val s1_vfPregRData: MixedVec[MixedVec[Vec[UInt]]] = Wire(MixedVec(toExu.map(x => MixedVec(x.map(_.bits.src.cloneType))))) 242730cfbc0SXuan Hu 243730cfbc0SXuan Hu val rfrPortConfigs = schdParams.map(_.issueBlockParams).flatten.map(_.exuBlockParams.map(_.rfrPortConfigs)) 244730cfbc0SXuan Hu 245730cfbc0SXuan Hu println(s"[DataPath] s1_intPregRData.flatten.flatten.size: ${s1_intPregRData.flatten.flatten.size}, intRfRdata.size: ${intRfRdata.size}") 246730cfbc0SXuan Hu s1_intPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 247730cfbc0SXuan Hu s1_intPregRData.zip(rfrPortConfigs).foreach { case (iqRdata, iqCfg) => 248730cfbc0SXuan Hu iqRdata.zip(iqCfg).foreach { case (iuRdata, iuCfg) => 249730cfbc0SXuan Hu val realIuCfg = iuCfg.map(x => if(x.size > 1) x.filter(_.isInstanceOf[IntRD]) else x).flatten 250730cfbc0SXuan Hu assert(iuRdata.size == realIuCfg.size, "iuRdata.size != realIuCfg.size") 251730cfbc0SXuan Hu iuRdata.zip(realIuCfg) 252730cfbc0SXuan Hu .filter { case (_, rfrPortConfig) => rfrPortConfig.isInstanceOf[IntRD] } 253730cfbc0SXuan Hu .foreach { case (sink, cfg) => sink := intRfRdata(cfg.port) } 254730cfbc0SXuan Hu } 255730cfbc0SXuan Hu } 256730cfbc0SXuan Hu 257730cfbc0SXuan Hu println(s"[DataPath] s1_vfPregRData.flatten.flatten.size: ${s1_vfPregRData.flatten.flatten.size}, vfRfRdata.size: ${vfRfRdata.size}") 258730cfbc0SXuan Hu s1_vfPregRData.foreach(_.foreach(_.foreach(_ := 0.U))) 259730cfbc0SXuan Hu s1_vfPregRData.zip(rfrPortConfigs).foreach{ case(iqRdata, iqCfg) => 260730cfbc0SXuan Hu iqRdata.zip(iqCfg).foreach{ case(iuRdata, iuCfg) => 261730cfbc0SXuan Hu val realIuCfg = iuCfg.map(x => if(x.size > 1) x.filter(_.isInstanceOf[VfRD]) else x).flatten 262730cfbc0SXuan Hu assert(iuRdata.size == realIuCfg.size, "iuRdata.size != realIuCfg.size") 263730cfbc0SXuan Hu iuRdata.zip(realIuCfg) 264730cfbc0SXuan Hu .filter { case (_, rfrPortConfig) => rfrPortConfig.isInstanceOf[VfRD] } 265730cfbc0SXuan Hu .foreach { case (sink, cfg) => sink := vfRfRdata(cfg.port) } 266730cfbc0SXuan Hu } 267730cfbc0SXuan Hu } 268730cfbc0SXuan Hu 269730cfbc0SXuan Hu for (i <- fromIQ.indices) { 270730cfbc0SXuan Hu for (j <- fromIQ(i).indices) { 271730cfbc0SXuan Hu // IQ(s0) --[Ctrl]--> s1Reg ---------- begin 272730cfbc0SXuan Hu // refs 273730cfbc0SXuan Hu val s1_valid = s1_toExuValid(i)(j) 274730cfbc0SXuan Hu val s1_ready = s1_toExuReady(i)(j) 275730cfbc0SXuan Hu val s1_data = s1_toExuData(i)(j) 276730cfbc0SXuan Hu val s1_addrOH = s1_addrOHs(i)(j) 277730cfbc0SXuan Hu val s0 = fromIQ(i)(j) // s0 278*39c59369SXuan Hu val notBlock = intRdNotBlock(i)(j) && intWbNotBlock(i)(j) && vfRdNotBlock(i)(j) && vfWbNotBlock(i)(j) 279730cfbc0SXuan Hu val s1_flush = s0.bits.common.robIdx.needFlush(Seq(io.flush, RegNextWithEnable(io.flush))) 280c0be7f33SXuan Hu val s1_cancel = og1FailedVec2(i)(j) 281*39c59369SXuan Hu when (s0.fire && !s1_flush && notBlock && !s1_cancel) { 282730cfbc0SXuan Hu s1_valid := s0.valid 283730cfbc0SXuan Hu s1_data.fromIssueBundle(s0.bits) // no src data here 284730cfbc0SXuan Hu s1_addrOH := s0.bits.addrOH 285730cfbc0SXuan Hu }.otherwise { 286730cfbc0SXuan Hu s1_valid := false.B 287730cfbc0SXuan Hu } 288*39c59369SXuan Hu s0.ready := (s1_ready || !s1_valid) && notBlock 289730cfbc0SXuan Hu // IQ(s0) --[Ctrl]--> s1Reg ---------- end 290730cfbc0SXuan Hu 291730cfbc0SXuan Hu // IQ(s0) --[Data]--> s1Reg ---------- begin 292730cfbc0SXuan Hu // imm extract 293*39c59369SXuan Hu when (s0.fire && !s1_flush && notBlock) { 294730cfbc0SXuan Hu if (s1_data.params.immType.nonEmpty && s1_data.src.size > 1) { 295730cfbc0SXuan Hu // rs1 is always int reg, rs2 may be imm 296730cfbc0SXuan Hu when(SrcType.isImm(s0.bits.srcType(1))) { 297730cfbc0SXuan Hu s1_data.src(1) := ImmExtractor( 298730cfbc0SXuan Hu s0.bits.common.imm, 299730cfbc0SXuan Hu s0.bits.immType, 300da778e6fSXuan Hu s1_data.params.dataBitsMax, 301730cfbc0SXuan Hu s1_data.params.immType.map(_.litValue) 302730cfbc0SXuan Hu ) 303730cfbc0SXuan Hu } 304730cfbc0SXuan Hu } 305730cfbc0SXuan Hu if (s1_data.params.hasJmpFu) { 306730cfbc0SXuan Hu when(SrcType.isPc(s0.bits.srcType(0))) { 307730cfbc0SXuan Hu s1_data.src(0) := SignExt(s0.bits.jmp.get.pc, XLEN) 308730cfbc0SXuan Hu } 309da778e6fSXuan Hu } else if (s1_data.params.hasVecFu) { 310da778e6fSXuan Hu // Fuck off riscv vector imm!!! Why not src1??? 311da778e6fSXuan Hu when(SrcType.isImm(s0.bits.srcType(0))) { 312da778e6fSXuan Hu s1_data.src(0) := ImmExtractor( 313da778e6fSXuan Hu s0.bits.common.imm, 314da778e6fSXuan Hu s0.bits.immType, 315da778e6fSXuan Hu s1_data.params.dataBitsMax, 316da778e6fSXuan Hu s1_data.params.immType.map(_.litValue) 317da778e6fSXuan Hu ) 318da778e6fSXuan Hu } 319730cfbc0SXuan Hu } 320730cfbc0SXuan Hu } 321730cfbc0SXuan Hu // IQ(s0) --[Data]--> s1Reg ---------- end 322730cfbc0SXuan Hu } 323730cfbc0SXuan Hu } 324730cfbc0SXuan Hu 325ea0f92d8Sczw private val fromIQFire = fromIQ.map(_.map(_.fire)) 326ea0f92d8Sczw private val toExuFire = toExu.map(_.map(_.fire)) 327730cfbc0SXuan Hu toIQs.zipWithIndex.foreach { 328730cfbc0SXuan Hu case(toIQ, iqIdx) => 329730cfbc0SXuan Hu toIQ.zipWithIndex.foreach { 330730cfbc0SXuan Hu case (toIU, iuIdx) => 331730cfbc0SXuan Hu // IU: issue unit 332730cfbc0SXuan Hu val og0resp = toIU.og0resp 333c0be7f33SXuan Hu og0FailedVec2(iqIdx)(iuIdx) := fromIQ(iqIdx)(iuIdx).valid && (!fromIQFire(iqIdx)(iuIdx)) 334c0be7f33SXuan Hu og0resp.valid := og0FailedVec2(iqIdx)(iuIdx) 335ea0f92d8Sczw og0resp.bits.respType := RSFeedbackType.rfArbitFail 336730cfbc0SXuan Hu og0resp.bits.addrOH := fromIQ(iqIdx)(iuIdx).bits.addrOH 3378d29ec32Sczw og0resp.bits.rfWen := fromIQ(iqIdx)(iuIdx).bits.common.rfWen.getOrElse(false.B) 3388d29ec32Sczw og0resp.bits.fuType := fromIQ(iqIdx)(iuIdx).bits.common.fuType 339730cfbc0SXuan Hu 340730cfbc0SXuan Hu val og1resp = toIU.og1resp 341c0be7f33SXuan Hu og1FailedVec2(iqIdx)(iuIdx) := s1_toExuValid(iqIdx)(iuIdx) && !toExuFire(iqIdx)(iuIdx) 342730cfbc0SXuan Hu og1resp.valid := s1_toExuValid(iqIdx)(iuIdx) 343c0be7f33SXuan Hu og1resp.bits.respType := Mux(!og1FailedVec2(iqIdx)(iuIdx), 344d54d930bSfdy if (toIU.issueQueueParams.isMemAddrIQ) RSFeedbackType.fuUncertain else RSFeedbackType.fuIdle, 345d54d930bSfdy RSFeedbackType.fuBusy) 346730cfbc0SXuan Hu og1resp.bits.addrOH := s1_addrOHs(iqIdx)(iuIdx) 3478d29ec32Sczw og1resp.bits.rfWen := s1_toExuData(iqIdx)(iuIdx).rfWen.getOrElse(false.B) 3488d29ec32Sczw og1resp.bits.fuType := s1_toExuData(iqIdx)(iuIdx).fuType 349730cfbc0SXuan Hu } 350730cfbc0SXuan Hu } 3518a00ff56SXuan Hu 35210fe9778SXuan Hu io.og0CancelVec.zip(io.og1CancelVec).zipWithIndex.foreach { case ((og0Cancel, og1Cancel), i) => 35310fe9778SXuan Hu og0Cancel := fromFlattenIQ(i).valid && !fromFlattenIQ(i).fire 35410fe9778SXuan Hu og1Cancel := toFlattenExu(i).valid && !toFlattenExu(i).fire 355c0be7f33SXuan Hu } 356c0be7f33SXuan Hu 357730cfbc0SXuan Hu for (i <- toExu.indices) { 358730cfbc0SXuan Hu for (j <- toExu(i).indices) { 359730cfbc0SXuan Hu // s1Reg --[Ctrl]--> exu(s1) ---------- begin 360730cfbc0SXuan Hu // refs 361730cfbc0SXuan Hu val sinkData = toExu(i)(j).bits 362730cfbc0SXuan Hu // assign 363730cfbc0SXuan Hu toExu(i)(j).valid := s1_toExuValid(i)(j) 364730cfbc0SXuan Hu s1_toExuReady(i)(j) := toExu(i)(j).ready 365730cfbc0SXuan Hu sinkData := s1_toExuData(i)(j) 366730cfbc0SXuan Hu // s1Reg --[Ctrl]--> exu(s1) ---------- end 367730cfbc0SXuan Hu 368730cfbc0SXuan Hu // s1Reg --[Data]--> exu(s1) ---------- begin 369730cfbc0SXuan Hu // data source1: preg read data 370730cfbc0SXuan Hu for (k <- sinkData.src.indices) { 371730cfbc0SXuan Hu val srcDataTypeSet: Set[DataConfig] = sinkData.params.getSrcDataType(k) 372730cfbc0SXuan Hu 373730cfbc0SXuan Hu val readRfMap: Seq[(Bool, UInt)] = (Seq(None) :+ 374730cfbc0SXuan Hu (if (s1_intPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(IntRegSrcDataSet).nonEmpty) 375730cfbc0SXuan Hu Some(SrcType.isXp(s1_srcType(i)(j)(k)) -> s1_intPregRData(i)(j)(k)) 376730cfbc0SXuan Hu else None) :+ 377730cfbc0SXuan Hu (if (s1_vfPregRData(i)(j).isDefinedAt(k) && srcDataTypeSet.intersect(VfRegSrcDataSet).nonEmpty) 378730cfbc0SXuan Hu Some(SrcType.isVfp(s1_srcType(i)(j)(k))-> s1_vfPregRData(i)(j)(k)) 379730cfbc0SXuan Hu else None) 380730cfbc0SXuan Hu ).filter(_.nonEmpty).map(_.get) 381730cfbc0SXuan Hu if (readRfMap.nonEmpty) 382730cfbc0SXuan Hu sinkData.src(k) := Mux1H(readRfMap) 383730cfbc0SXuan Hu } 384730cfbc0SXuan Hu 385730cfbc0SXuan Hu // data source2: extracted imm and pc saved in s1Reg 386730cfbc0SXuan Hu if (sinkData.params.immType.nonEmpty && sinkData.src.size > 1) { 387730cfbc0SXuan Hu when(SrcType.isImm(s1_srcType(i)(j)(1))) { 388730cfbc0SXuan Hu sinkData.src(1) := s1_toExuData(i)(j).src(1) 389730cfbc0SXuan Hu } 390730cfbc0SXuan Hu } 391730cfbc0SXuan Hu if (sinkData.params.hasJmpFu) { 392730cfbc0SXuan Hu when(SrcType.isPc(s1_srcType(i)(j)(0))) { 393730cfbc0SXuan Hu sinkData.src(0) := s1_toExuData(i)(j).src(0) 394730cfbc0SXuan Hu } 395da778e6fSXuan Hu } else if (sinkData.params.hasVecFu) { 396da778e6fSXuan Hu when(SrcType.isImm(s1_srcType(i)(j)(0))) { 397da778e6fSXuan Hu sinkData.src(0) := s1_toExuData(i)(j).src(0) 398da778e6fSXuan Hu } 399730cfbc0SXuan Hu } 400730cfbc0SXuan Hu // s1Reg --[Data]--> exu(s1) ---------- end 401730cfbc0SXuan Hu } 402730cfbc0SXuan Hu } 403730cfbc0SXuan Hu 404730cfbc0SXuan Hu if (env.AlwaysBasicDiff || env.EnableDifftest) { 405730cfbc0SXuan Hu val delayedCnt = 2 406730cfbc0SXuan Hu val difftestArchIntRegState = Module(new DifftestArchIntRegState) 407730cfbc0SXuan Hu difftestArchIntRegState.io.clock := clock 408730cfbc0SXuan Hu difftestArchIntRegState.io.coreid := io.hartId 409730cfbc0SXuan Hu difftestArchIntRegState.io.gpr := DelayN(intDebugRead.get._2, delayedCnt) 410730cfbc0SXuan Hu 411730cfbc0SXuan Hu val difftestArchFpRegState = Module(new DifftestArchFpRegState) 412730cfbc0SXuan Hu difftestArchFpRegState.io.clock := clock 413730cfbc0SXuan Hu difftestArchFpRegState.io.coreid := io.hartId 414730cfbc0SXuan Hu difftestArchFpRegState.io.fpr := DelayN(fpDebugReadData.get, delayedCnt) 415730cfbc0SXuan Hu 416730cfbc0SXuan Hu val difftestArchVecRegState = Module(new DifftestArchVecRegState) 417730cfbc0SXuan Hu difftestArchVecRegState.io.clock := clock 418730cfbc0SXuan Hu difftestArchVecRegState.io.coreid := io.hartId 419730cfbc0SXuan Hu difftestArchVecRegState.io.vpr := DelayN(vecDebugReadData.get, delayedCnt) 420730cfbc0SXuan Hu } 421730cfbc0SXuan Hu} 422730cfbc0SXuan Hu 423730cfbc0SXuan Huclass DataPathIO()(implicit p: Parameters, params: BackendParams) extends XSBundle { 424730cfbc0SXuan Hu // params 425730cfbc0SXuan Hu private val intSchdParams = params.schdParams(IntScheduler()) 426730cfbc0SXuan Hu private val vfSchdParams = params.schdParams(VfScheduler()) 427730cfbc0SXuan Hu private val memSchdParams = params.schdParams(MemScheduler()) 428c0be7f33SXuan Hu private val exuParams = params.allExuParams 429730cfbc0SXuan Hu // bundles 430730cfbc0SXuan Hu val hartId = Input(UInt(8.W)) 431730cfbc0SXuan Hu 432730cfbc0SXuan Hu val flush: ValidIO[Redirect] = Flipped(ValidIO(new Redirect)) 433730cfbc0SXuan Hu 434e2e5f6b0SXuan Hu // Todo: check if this can be removed 435d91483a6Sfdy val vconfigReadPort = new RfReadPort(XLEN, PhyRegIdxWidth) 436d91483a6Sfdy 4372e0a7dc5Sfdy val wbConfictRead = Input(MixedVec(params.allSchdParams.map(x => MixedVec(x.issueBlockParams.map(x => x.genWbConflictBundle()))))) 4382e0a7dc5Sfdy 439730cfbc0SXuan Hu val fromIntIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 440730cfbc0SXuan Hu Flipped(MixedVec(intSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 441730cfbc0SXuan Hu 442730cfbc0SXuan Hu val fromMemIQ: MixedVec[MixedVec[DecoupledIO[IssueQueueIssueBundle]]] = 443730cfbc0SXuan Hu Flipped(MixedVec(memSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 444730cfbc0SXuan Hu 445730cfbc0SXuan Hu val fromVfIQ = Flipped(MixedVec(vfSchdParams.issueBlockParams.map(_.genIssueDecoupledBundle))) 446730cfbc0SXuan Hu 447730cfbc0SXuan Hu val toIntIQ = MixedVec(intSchdParams.issueBlockParams.map(_.genOGRespBundle)) 448730cfbc0SXuan Hu 449730cfbc0SXuan Hu val toMemIQ = MixedVec(memSchdParams.issueBlockParams.map(_.genOGRespBundle)) 450730cfbc0SXuan Hu 451730cfbc0SXuan Hu val toVfIQ = MixedVec(vfSchdParams.issueBlockParams.map(_.genOGRespBundle)) 452730cfbc0SXuan Hu 45310fe9778SXuan Hu val og0CancelVec = Output(ExuVec(backendParams.numExu)) 45410fe9778SXuan Hu 45510fe9778SXuan Hu val og1CancelVec = Output(ExuVec(backendParams.numExu)) 456c0be7f33SXuan Hu 457730cfbc0SXuan Hu val toIntExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = intSchdParams.genExuInputBundle 458730cfbc0SXuan Hu 459730cfbc0SXuan Hu val toFpExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = MixedVec(vfSchdParams.genExuInputBundle) 460730cfbc0SXuan Hu 461730cfbc0SXuan Hu val toMemExu: MixedVec[MixedVec[DecoupledIO[ExuInput]]] = memSchdParams.genExuInputBundle 462730cfbc0SXuan Hu 463730cfbc0SXuan Hu val fromIntWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genIntWriteBackBundle) 464730cfbc0SXuan Hu 465730cfbc0SXuan Hu val fromVfWb: MixedVec[RfWritePortWithConfig] = MixedVec(params.genVfWriteBackBundle) 466730cfbc0SXuan Hu 467bf35baadSXuan Hu val fromIntExus = Flipped(intSchdParams.genExuOutputValidBundle) 468bf35baadSXuan Hu 469bf35baadSXuan Hu val fromVfExus = Flipped(intSchdParams.genExuOutputValidBundle) 470bf35baadSXuan Hu 471730cfbc0SXuan Hu val debugIntRat = Input(Vec(32, UInt(intSchdParams.pregIdxWidth.W))) 472730cfbc0SXuan Hu val debugFpRat = Input(Vec(32, UInt(vfSchdParams.pregIdxWidth.W))) 473730cfbc0SXuan Hu val debugVecRat = Input(Vec(32, UInt(vfSchdParams.pregIdxWidth.W))) 474a8db15d8Sfdy val debugVconfigRat = Input(UInt(vfSchdParams.pregIdxWidth.W)) 475a8db15d8Sfdy val debugVconfig = Output(UInt(XLEN.W)) 476a8db15d8Sfdy 477730cfbc0SXuan Hu} 478