xref: /XiangShan/src/main/scala/xiangshan/backend/GPAMem.scala (revision bb2f3f51dd67f6e16e0cc1ffe43368c9fc7e4aef)
1package xiangshan.backend
2
3import chisel3._
4import chisel3.util._
5import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp}
6import org.chipsalliance.cde.config.Parameters
7import utility.SyncDataModuleTemplate
8import xiangshan.HasXSParameter
9import xiangshan.frontend.{FtqPtr, IfuToBackendIO}
10
11class GPAMem(implicit p: Parameters) extends LazyModule {
12  override def shouldBeInlined: Boolean = false
13
14  lazy val module = new GPAMemImp(this)
15}
16
17class GPAMemImp(override val wrapper: GPAMem)(implicit p: Parameters) extends LazyModuleImp(wrapper) with HasXSParameter {
18  val io = IO(new GPAMemIO)
19
20  private val PageOffsetWidth = 12
21
22  private val mem = Module (new SyncDataModuleTemplate(UInt(GPAddrBits.W), FtqSize, numRead = 1, numWrite = 1, hasRen = true))
23
24  mem.io.wen.head := io.fromIFU.gpaddrMem_wen
25  mem.io.waddr.head := io.fromIFU.gpaddrMem_waddr
26  mem.io.wdata.head := io.fromIFU.gpaddrMem_wdata
27
28  mem.io.ren.get.head := io.exceptionReadAddr.valid
29  mem.io.raddr.head := io.exceptionReadAddr.bits.ftqPtr.value
30
31  private val ftqOffset = RegEnable(io.exceptionReadAddr.bits.ftqOffset, io.exceptionReadAddr.valid)
32
33  private val gpabase = mem.io.rdata.head
34  private val gpa = gpabase + Cat(ftqOffset, 0.U(instOffsetBits.W))
35
36  io.exceptionReadData := gpa
37
38  def getGPAPage(vaddr: UInt): UInt = {
39    require(vaddr.getWidth == GPAddrBits, s"The width of gpa should be $GPAddrBits")
40    vaddr(GPAddrBits - 1, PageOffsetWidth)
41  }
42}
43
44class GPAMemIO(implicit val p: Parameters) extends Bundle with HasXSParameter {
45  val fromIFU = Flipped(new IfuToBackendIO())
46
47  val exceptionReadAddr = Input(ValidIO(new Bundle {
48    val ftqPtr = new FtqPtr()
49    val ftqOffset = UInt(log2Up(PredictWidth).W)
50  }))
51
52  val exceptionReadData = Output(UInt(GPAddrBits.W))
53}
54