1package xiangshan.backend 2 3import chipsalliance.rocketchip.config.Parameters 4import chisel3._ 5import chisel3.util._ 6import utils.OptionWrapper 7import freechips.rocketchip.diplomacy.{LazyModule, LazyModuleImp} 8import utility.ZeroExt 9import xiangshan._ 10import xiangshan.backend.Bundles.{DynInst, IssueQueueIQWakeUpBundle, MemExuInput, MemExuOutput} 11import xiangshan.backend.ctrlblock.CtrlBlock 12import xiangshan.backend.datapath.WbConfig._ 13import xiangshan.backend.datapath.{BypassNetwork, DataPath, NewPipelineConnect, WbDataPath, WbFuBusyTable} 14import xiangshan.backend.exu.ExuBlock 15import xiangshan.backend.fu.vector.Bundles.{VConfig, VType} 16import xiangshan.backend.fu.{FenceIO, FenceToSbuffer, FuConfig, PerfCounterIO} 17import xiangshan.backend.issue.Scheduler 18import xiangshan.backend.rob.RobLsqIO 19import xiangshan.frontend.{FtqPtr, FtqRead} 20import xiangshan.mem.{LqPtr, LsqEnqIO, SqPtr} 21 22class Backend(val params: BackendParams)(implicit p: Parameters) extends LazyModule 23 with HasXSParameter { 24 25 /* Only update the idx in mem-scheduler here 26 * Idx in other schedulers can be updated the same way if needed 27 * 28 * Also note that we filter out the 'stData issue-queues' when counting 29 */ 30 for ((ibp, idx) <- params.memSchdParams.get.issueBlockParams.filter(iq => iq.StdCnt == 0).zipWithIndex) { 31 ibp.updateIdx(idx) 32 } 33 34 println(params.iqWakeUpParams) 35 36 for ((schdCfg, i) <- params.allSchdParams.zipWithIndex) { 37 schdCfg.bindBackendParam(params) 38 } 39 40 for ((iqCfg, i) <- params.allIssueParams.zipWithIndex) { 41 iqCfg.bindBackendParam(params) 42 } 43 44 for ((exuCfg, i) <- params.allExuParams.zipWithIndex) { 45 exuCfg.updateIQWakeUpConfigs(params.iqWakeUpParams) 46 exuCfg.updateExuIdx(i) 47 exuCfg.bindBackendParam(params) 48 } 49 50 println("[Backend] ExuConfigs:") 51 for (exuCfg <- params.allExuParams) { 52 val fuConfigs = exuCfg.fuConfigs 53 val wbPortConfigs = exuCfg.wbPortConfigs 54 val immType = exuCfg.immType 55 56 println("[Backend] " + 57 s"${exuCfg.name}: " + 58 s"${fuConfigs.map(_.name).mkString("fu(s): {", ",", "}")}, " + 59 s"${wbPortConfigs.mkString("wb: {", ",", "}")}, " + 60 s"${immType.map(SelImm.mkString(_)).mkString("imm: {", ",", "}")}, " + 61 s"latMax(${exuCfg.latencyValMax}), ${exuCfg.fuLatancySet.mkString("lat: {", ",", "}")}, " 62 ) 63 require( 64 wbPortConfigs.collectFirst { case x: IntWB => x }.nonEmpty == 65 fuConfigs.map(_.writeIntRf).reduce(_ || _), 66 "int wb port has no priority" 67 ) 68 require( 69 wbPortConfigs.collectFirst { case x: VfWB => x }.nonEmpty == 70 fuConfigs.map(x => x.writeFpRf || x.writeVecRf).reduce(_ || _), 71 "vec wb port has no priority" 72 ) 73 } 74 75 for (cfg <- FuConfig.allConfigs) { 76 println(s"[Backend] $cfg") 77 } 78 79 val ctrlBlock = LazyModule(new CtrlBlock(params)) 80 val intScheduler = params.intSchdParams.map(x => LazyModule(new Scheduler(x))) 81 val vfScheduler = params.vfSchdParams.map(x => LazyModule(new Scheduler(x))) 82 val memScheduler = params.memSchdParams.map(x => LazyModule(new Scheduler(x))) 83 val dataPath = LazyModule(new DataPath(params)) 84 val intExuBlock = params.intSchdParams.map(x => LazyModule(new ExuBlock(x))) 85 val vfExuBlock = params.vfSchdParams.map(x => LazyModule(new ExuBlock(x))) 86 val wbFuBusyTable = LazyModule(new WbFuBusyTable(params)) 87 88 lazy val module = new BackendImp(this) 89} 90 91class BackendImp(override val wrapper: Backend)(implicit p: Parameters) extends LazyModuleImp(wrapper) 92 with HasXSParameter { 93 implicit private val params = wrapper.params 94 val io = IO(new BackendIO()(p, wrapper.params)) 95 96 private val ctrlBlock = wrapper.ctrlBlock.module 97 private val intScheduler = wrapper.intScheduler.get.module 98 private val vfScheduler = wrapper.vfScheduler.get.module 99 private val memScheduler = wrapper.memScheduler.get.module 100 private val dataPath = wrapper.dataPath.module 101 private val intExuBlock = wrapper.intExuBlock.get.module 102 private val vfExuBlock = wrapper.vfExuBlock.get.module 103 private val bypassNetwork = Module(new BypassNetwork) 104 private val wbDataPath = Module(new WbDataPath(params)) 105 private val wbFuBusyTable = wrapper.wbFuBusyTable.module 106 107 private val iqWakeUpMappedBundle: Map[Int, ValidIO[IssueQueueIQWakeUpBundle]] = ( 108 intScheduler.io.toSchedulers.wakeupVec ++ 109 vfScheduler.io.toSchedulers.wakeupVec ++ 110 memScheduler.io.toSchedulers.wakeupVec 111 ).map(x => (x.bits.exuIdx, x)).toMap 112 113 println(s"[Backend] iq wake up keys: ${iqWakeUpMappedBundle.keys}") 114 115 wbFuBusyTable.io.in.intSchdBusyTable := intScheduler.io.wbFuBusyTable 116 wbFuBusyTable.io.in.vfSchdBusyTable := vfScheduler.io.wbFuBusyTable 117 wbFuBusyTable.io.in.memSchdBusyTable := memScheduler.io.wbFuBusyTable 118 intScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.intRespRead 119 vfScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.vfRespRead 120 memScheduler.io.fromWbFuBusyTable.fuBusyTableRead := wbFuBusyTable.io.out.memRespRead 121 dataPath.io.wbConfictRead := wbFuBusyTable.io.out.wbConflictRead 122 123 wbDataPath.io.fromIntExu.flatten.filter(x => x.bits.params.writeIntRf) 124 125 private val vconfig = dataPath.io.vconfigReadPort.data 126 private val og0CancelVec: Vec[Bool] = VecInit(dataPath.io.toIQCancelVec.map(_("OG0"))) 127 private val og1CancelVec: Vec[Bool] = VecInit(dataPath.io.toIQCancelVec.map(_("OG1"))) 128 dontTouch(og0CancelVec) 129 dontTouch(og1CancelVec) 130 131 ctrlBlock.io.fromTop.hartId := io.fromTop.hartId 132 ctrlBlock.io.frontend <> io.frontend 133 ctrlBlock.io.fromWB.wbData <> wbDataPath.io.toCtrlBlock.writeback 134 ctrlBlock.io.fromMem.stIn <> io.mem.stIn 135 ctrlBlock.io.fromMem.violation <> io.mem.memoryViolation 136 ctrlBlock.io.csrCtrl <> intExuBlock.io.csrio.get.customCtrl 137 ctrlBlock.io.robio.csr.intrBitSet := intExuBlock.io.csrio.get.interrupt 138 ctrlBlock.io.robio.csr.trapTarget := intExuBlock.io.csrio.get.trapTarget 139 ctrlBlock.io.robio.csr.isXRet := intExuBlock.io.csrio.get.isXRet 140 ctrlBlock.io.robio.csr.wfiEvent := intExuBlock.io.csrio.get.wfi_event 141 ctrlBlock.io.robio.lsq <> io.mem.robLsqIO 142 ctrlBlock.io.fromDataPath.vtype := vconfig(7, 0).asTypeOf(new VType) 143 144 intScheduler.io.fromTop.hartId := io.fromTop.hartId 145 intScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 146 intScheduler.io.fromCtrlBlock.pcVec := ctrlBlock.io.toIssueBlock.pcVec 147 intScheduler.io.fromCtrlBlock.targetVec := ctrlBlock.io.toIssueBlock.targetVec 148 intScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 149 intScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.intUops 150 intScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 151 intScheduler.io.vfWriteBack := 0.U.asTypeOf(intScheduler.io.vfWriteBack) 152 intScheduler.io.fromDataPath.resp := dataPath.io.toIntIQ 153 intScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 154 intScheduler.io.fromDataPath.cancel.foreach(x => x.cancelVec := dataPath.io.toIQCancelVec(x.exuIdx).cancelVec) 155 156 memScheduler.io.fromTop.hartId := io.fromTop.hartId 157 memScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 158 memScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 159 memScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.memUops 160 memScheduler.io.intWriteBack := wbDataPath.io.toIntPreg 161 memScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 162 memScheduler.io.fromMem.get.scommit := io.mem.sqDeq 163 memScheduler.io.fromMem.get.lcommit := io.mem.lqDeq 164 memScheduler.io.fromMem.get.sqCancelCnt := io.mem.sqCancelCnt 165 memScheduler.io.fromMem.get.lqCancelCnt := io.mem.lqCancelCnt 166 memScheduler.io.fromMem.get.stIssuePtr := io.mem.stIssuePtr 167 memScheduler.io.fromMem.get.memWaitUpdateReq.staIssue.zip(io.mem.stIn).foreach { case (sink, source) => 168 sink.valid := source.valid 169 sink.bits.uop := 0.U.asTypeOf(sink.bits.uop) 170 sink.bits.uop.robIdx := source.bits.robIdx 171 } 172 memScheduler.io.fromDataPath.resp := dataPath.io.toMemIQ 173 memScheduler.io.fromMem.get.ldaFeedback := io.mem.ldaIqFeedback 174 memScheduler.io.fromMem.get.staFeedback := io.mem.staIqFeedback 175 memScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 176 memScheduler.io.fromDataPath.cancel.foreach(x => x.cancelVec := dataPath.io.toIQCancelVec(x.exuIdx).cancelVec) 177 178 vfScheduler.io.fromTop.hartId := io.fromTop.hartId 179 vfScheduler.io.fromCtrlBlock.flush := ctrlBlock.io.toIssueBlock.flush 180 vfScheduler.io.fromDispatch.allocPregs <> ctrlBlock.io.toIssueBlock.allocPregs 181 vfScheduler.io.fromDispatch.uops <> ctrlBlock.io.toIssueBlock.vfUops 182 vfScheduler.io.intWriteBack := 0.U.asTypeOf(vfScheduler.io.intWriteBack) 183 vfScheduler.io.vfWriteBack := wbDataPath.io.toVfPreg 184 vfScheduler.io.fromDataPath.resp := dataPath.io.toVfIQ 185 vfScheduler.io.fromSchedulers.wakeupVec.foreach { wakeup => wakeup := iqWakeUpMappedBundle(wakeup.bits.exuIdx) } 186 vfScheduler.io.fromDataPath.cancel.foreach(x => x.cancelVec := dataPath.io.toIQCancelVec(x.exuIdx).cancelVec) 187 188 dataPath.io.flush := ctrlBlock.io.toDataPath.flush 189 dataPath.io.vconfigReadPort.addr := ctrlBlock.io.toDataPath.vtypeAddr 190 191 for (i <- 0 until dataPath.io.fromIntIQ.length) { 192 for (j <- 0 until dataPath.io.fromIntIQ(i).length) { 193 NewPipelineConnect( 194 intScheduler.io.toDataPath(i)(j), dataPath.io.fromIntIQ(i)(j), dataPath.io.fromIntIQ(i)(j).valid, 195 dontTouch(intScheduler.io.toDataPath(i)(j).bits.common.robIdx.needFlush(ctrlBlock.io.toDataPath.flush) | intScheduler.io.toDataPath(i)(j).bits.common.needCancel(og0CancelVec, og1CancelVec)), 196 Option("intScheduler2DataPathPipe") 197 ) 198 } 199 } 200 201 for (i <- 0 until dataPath.io.fromVfIQ.length) { 202 for (j <- 0 until dataPath.io.fromVfIQ(i).length) { 203 NewPipelineConnect( 204 vfScheduler.io.toDataPath(i)(j), dataPath.io.fromVfIQ(i)(j), dataPath.io.fromVfIQ(i)(j).valid, 205 dontTouch(vfScheduler.io.toDataPath(i)(j).bits.common.robIdx.needFlush(ctrlBlock.io.toDataPath.flush) | vfScheduler.io.toDataPath(i)(j).bits.common.needCancel(og0CancelVec, og1CancelVec)), 206 Option("vfScheduler2DataPathPipe") 207 ) 208 } 209 } 210 211 for (i <- 0 until dataPath.io.fromMemIQ.length) { 212 for (j <- 0 until dataPath.io.fromMemIQ(i).length) { 213 NewPipelineConnect( 214 memScheduler.io.toDataPath(i)(j), dataPath.io.fromMemIQ(i)(j), dataPath.io.fromMemIQ(i)(j).valid, 215 dontTouch(memScheduler.io.toDataPath(i)(j).bits.common.robIdx.needFlush(ctrlBlock.io.toDataPath.flush) | memScheduler.io.toDataPath(i)(j).bits.common.needCancel(og0CancelVec, og1CancelVec)), 216 Option("memScheduler2DataPathPipe") 217 ) 218 } 219 } 220 221 println(s"[Backend] wbDataPath.io.toIntPreg: ${wbDataPath.io.toIntPreg.size}, dataPath.io.fromIntWb: ${dataPath.io.fromIntWb.size}") 222 println(s"[Backend] wbDataPath.io.toVfPreg: ${wbDataPath.io.toVfPreg.size}, dataPath.io.fromFpWb: ${dataPath.io.fromVfWb.size}") 223 dataPath.io.fromIntWb := wbDataPath.io.toIntPreg 224 dataPath.io.fromVfWb := wbDataPath.io.toVfPreg 225 dataPath.io.debugIntRat := ctrlBlock.io.debug_int_rat 226 dataPath.io.debugFpRat := ctrlBlock.io.debug_fp_rat 227 dataPath.io.debugVecRat := ctrlBlock.io.debug_vec_rat 228 dataPath.io.debugVconfigRat := ctrlBlock.io.debug_vconfig_rat 229 230 bypassNetwork.io.fromDataPath.int <> dataPath.io.toIntExu 231 bypassNetwork.io.fromDataPath.vf <> dataPath.io.toFpExu 232 bypassNetwork.io.fromDataPath.mem <> dataPath.io.toMemExu 233 bypassNetwork.io.fromExus.connectExuOutput(_.int)(intExuBlock.io.out) 234 bypassNetwork.io.fromExus.connectExuOutput(_.vf)(vfExuBlock.io.out) 235 bypassNetwork.io.fromExus.mem.flatten.zip(io.mem.writeBack).foreach { case (sink, source) => 236 sink.valid := source.valid 237 sink.bits.pdest := source.bits.uop.pdest 238 sink.bits.data := source.bits.data 239 } 240 241 intExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 242 for (i <- 0 until intExuBlock.io.in.length) { 243 for (j <- 0 until intExuBlock.io.in(i).length) { 244 NewPipelineConnect( 245 bypassNetwork.io.toExus.int(i)(j), intExuBlock.io.in(i)(j), intExuBlock.io.in(i)(j).fire, 246 Mux( 247 bypassNetwork.io.toExus.int(i)(j).fire, 248 bypassNetwork.io.toExus.int(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 249 intExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) 250 ) 251 ) 252 } 253 } 254 255 private val csrio = intExuBlock.io.csrio.get 256 csrio.hartId := io.fromTop.hartId 257 csrio.perf.retiredInstr <> ctrlBlock.io.robio.csr.perfinfo.retiredInstr 258 csrio.perf.ctrlInfo <> ctrlBlock.io.perfInfo.ctrlInfo 259 csrio.perf.perfEventsCtrl <> ctrlBlock.getPerf 260 csrio.fpu.fflags := ctrlBlock.io.robio.csr.fflags 261 csrio.fpu.isIllegal := false.B // Todo: remove it 262 csrio.fpu.dirty_fs := ctrlBlock.io.robio.csr.dirty_fs 263 csrio.vpu <> 0.U.asTypeOf(csrio.vpu) // Todo 264 265 val debugVconfig = dataPath.io.debugVconfig.asTypeOf(new VConfig) 266 val debugVtype = VType.toVtypeStruct(debugVconfig.vtype).asUInt 267 val debugVl = debugVconfig.vl 268 csrio.vpu.set_vxsat := ctrlBlock.io.robio.csr.vxsat 269 csrio.vpu.set_vstart.valid := ctrlBlock.io.robio.csr.vcsrFlag 270 csrio.vpu.set_vstart.bits := 0.U 271 csrio.vpu.set_vtype.valid := ctrlBlock.io.robio.csr.vcsrFlag 272 csrio.vpu.set_vtype.bits := ZeroExt(debugVtype, XLEN) 273 csrio.vpu.set_vl.valid := ctrlBlock.io.robio.csr.vcsrFlag 274 csrio.vpu.set_vl.bits := ZeroExt(debugVl, XLEN) 275 csrio.exception := ctrlBlock.io.robio.exception 276 csrio.memExceptionVAddr := io.mem.exceptionVAddr 277 csrio.externalInterrupt := io.fromTop.externalInterrupt 278 csrio.distributedUpdate(0) := io.mem.csrDistributedUpdate 279 csrio.distributedUpdate(1) := io.frontendCsrDistributedUpdate 280 csrio.perf <> io.perf 281 private val fenceio = intExuBlock.io.fenceio.get 282 fenceio.disableSfence := csrio.disableSfence 283 io.fenceio <> fenceio 284 285 vfExuBlock.io.flush := ctrlBlock.io.toExuBlock.flush 286 for (i <- 0 until vfExuBlock.io.in.size) { 287 for (j <- 0 until vfExuBlock.io.in(i).size) { 288 NewPipelineConnect( 289 bypassNetwork.io.toExus.vf(i)(j), vfExuBlock.io.in(i)(j), vfExuBlock.io.in(i)(j).fire, 290 Mux( 291 bypassNetwork.io.toExus.vf(i)(j).fire, 292 bypassNetwork.io.toExus.vf(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 293 vfExuBlock.io.in(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) 294 ) 295 ) 296 } 297 } 298 vfExuBlock.io.frm.foreach(_ := csrio.fpu.frm) 299 300 wbDataPath.io.flush := ctrlBlock.io.redirect 301 wbDataPath.io.fromTop.hartId := io.fromTop.hartId 302 wbDataPath.io.fromIntExu <> intExuBlock.io.out 303 wbDataPath.io.fromVfExu <> vfExuBlock.io.out 304 wbDataPath.io.fromMemExu.flatten.zip(io.mem.writeBack).foreach { case (sink, source) => 305 sink.valid := source.valid 306 source.ready := sink.ready 307 sink.bits.data := source.bits.data 308 sink.bits.pdest := source.bits.uop.pdest 309 sink.bits.robIdx := source.bits.uop.robIdx 310 sink.bits.intWen.foreach(_ := source.bits.uop.rfWen) 311 sink.bits.fpWen.foreach(_ := source.bits.uop.fpWen) 312 sink.bits.vecWen.foreach(_ := source.bits.uop.vecWen) 313 sink.bits.exceptionVec.foreach(_ := source.bits.uop.exceptionVec) 314 sink.bits.flushPipe.foreach(_ := source.bits.uop.flushPipe) 315 sink.bits.replay.foreach(_ := source.bits.uop.replayInst) 316 sink.bits.debug := source.bits.debug 317 sink.bits.debugInfo := 0.U.asTypeOf(sink.bits.debugInfo) 318 sink.bits.lqIdx.foreach(_ := source.bits.uop.lqIdx) 319 sink.bits.sqIdx.foreach(_ := source.bits.uop.sqIdx) 320 sink.bits.ftqIdx.foreach(_ := source.bits.uop.ftqPtr) 321 sink.bits.ftqOffset.foreach(_ := source.bits.uop.ftqOffset) 322 } 323 324 // to mem 325 private val toMem = Wire(bypassNetwork.io.toExus.mem.cloneType) 326 for (i <- toMem.indices) { 327 for (j <- toMem(i).indices) { 328 NewPipelineConnect( 329 bypassNetwork.io.toExus.mem(i)(j), toMem(i)(j), toMem(i)(j).fire, 330 Mux( 331 bypassNetwork.io.toExus.mem(i)(j).fire, 332 bypassNetwork.io.toExus.mem(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush), 333 toMem(i)(j).bits.robIdx.needFlush(ctrlBlock.io.toExuBlock.flush) 334 ) 335 ) 336 } 337 } 338 339 io.mem.redirect := ctrlBlock.io.redirect 340 io.mem.issueUops.zip(toMem.flatten).foreach { case (sink, source) => 341 sink.valid := source.valid 342 source.ready := sink.ready 343 sink.bits.iqIdx := source.bits.iqIdx 344 sink.bits.isFirstIssue := source.bits.isFirstIssue 345 sink.bits.uop := 0.U.asTypeOf(sink.bits.uop) 346 sink.bits.src := 0.U.asTypeOf(sink.bits.src) 347 sink.bits.src.zip(source.bits.src).foreach { case (l, r) => l := r} 348 sink.bits.uop.fuType := source.bits.fuType 349 sink.bits.uop.fuOpType := source.bits.fuOpType 350 sink.bits.uop.imm := source.bits.imm 351 sink.bits.uop.robIdx := source.bits.robIdx 352 sink.bits.uop.pdest := source.bits.pdest 353 sink.bits.uop.rfWen := source.bits.rfWen.getOrElse(false.B) 354 sink.bits.uop.fpWen := source.bits.fpWen.getOrElse(false.B) 355 sink.bits.uop.vecWen := source.bits.vecWen.getOrElse(false.B) 356 sink.bits.uop.flushPipe := source.bits.flushPipe.getOrElse(false.B) 357 sink.bits.uop.pc := source.bits.pc.getOrElse(0.U) 358 sink.bits.uop.lqIdx := source.bits.lqIdx.getOrElse(0.U.asTypeOf(new LqPtr)) 359 sink.bits.uop.sqIdx := source.bits.sqIdx.getOrElse(0.U.asTypeOf(new SqPtr)) 360 sink.bits.uop.ftqPtr := source.bits.ftqIdx.getOrElse(0.U.asTypeOf(new FtqPtr)) 361 sink.bits.uop.ftqOffset := source.bits.ftqOffset.getOrElse(0.U) 362 } 363 io.mem.loadFastMatch := memScheduler.io.toMem.get.loadFastMatch.map(_.fastMatch) 364 io.mem.loadFastImm := memScheduler.io.toMem.get.loadFastMatch.map(_.fastImm) 365 io.mem.tlbCsr := csrio.tlb 366 io.mem.csrCtrl := csrio.customCtrl 367 io.mem.sfence := fenceio.sfence 368 io.mem.isStoreException := CommitType.lsInstIsStore(ctrlBlock.io.robio.exception.bits.commitType) 369 require(io.mem.loadPcRead.size == params.LduCnt) 370 io.mem.loadPcRead.zipWithIndex.foreach { case (loadPcRead, i) => 371 loadPcRead.data := ctrlBlock.io.memLdPcRead(i).data 372 ctrlBlock.io.memLdPcRead(i).ptr := loadPcRead.ptr 373 ctrlBlock.io.memLdPcRead(i).offset := loadPcRead.offset 374 } 375 // mem io 376 io.mem.lsqEnqIO <> memScheduler.io.memIO.get.lsqEnqIO 377 io.mem.robLsqIO <> ctrlBlock.io.robio.lsq 378 io.mem.toSbuffer <> fenceio.sbuffer 379 380 io.frontendSfence := fenceio.sfence 381 io.frontendTlbCsr := csrio.tlb 382 io.frontendCsrCtrl := csrio.customCtrl 383 384 io.tlb <> csrio.tlb 385 386 io.csrCustomCtrl := csrio.customCtrl 387 388 dontTouch(memScheduler.io) 389 dontTouch(io.mem) 390 dontTouch(dataPath.io.toMemExu) 391 dontTouch(wbDataPath.io.fromMemExu) 392} 393 394class BackendMemIO(implicit p: Parameters, params: BackendParams) extends XSBundle { 395 // params alias 396 private val LoadQueueSize = VirtualLoadQueueSize 397 // In/Out // Todo: split it into one-direction bundle 398 val lsqEnqIO = Flipped(new LsqEnqIO) 399 val robLsqIO = new RobLsqIO 400 val toSbuffer = new FenceToSbuffer 401 val ldaIqFeedback = Vec(params.LduCnt, Flipped(new MemRSFeedbackIO)) 402 val staIqFeedback = Vec(params.StaCnt, Flipped(new MemRSFeedbackIO)) 403 val loadPcRead = Vec(params.LduCnt, Flipped(new FtqRead(UInt(VAddrBits.W)))) 404 405 // Input 406 val writeBack = MixedVec(Seq.fill(params.LduCnt + params.StaCnt * 2)(Flipped(DecoupledIO(new MemExuOutput()))) ++ Seq.fill(params.VlduCnt)(Flipped(DecoupledIO(new MemExuOutput(true))))) 407 408 val s3_delayed_load_error = Input(Vec(LoadPipelineWidth, Bool())) 409 val stIn = Input(Vec(params.StaCnt, ValidIO(new DynInst()))) 410 val memoryViolation = Flipped(ValidIO(new Redirect)) 411 val exceptionVAddr = Input(UInt(VAddrBits.W)) 412 val sqDeq = Input(UInt(log2Ceil(EnsbufferWidth + 1).W)) 413 val lqDeq = Input(UInt(log2Up(CommitWidth + 1).W)) 414 415 val lqCancelCnt = Input(UInt(log2Up(VirtualLoadQueueSize + 1).W)) 416 val sqCancelCnt = Input(UInt(log2Up(StoreQueueSize + 1).W)) 417 418 val otherFastWakeup = Flipped(Vec(params.LduCnt + 2 * params.StaCnt, ValidIO(new DynInst))) 419 val stIssuePtr = Input(new SqPtr()) 420 421 val csrDistributedUpdate = Flipped(new DistributedCSRUpdateReq) 422 423 // Output 424 val redirect = ValidIO(new Redirect) // rob flush MemBlock 425 val issueUops = MixedVec(Seq.fill(params.LduCnt + params.StaCnt * 2)(DecoupledIO(new MemExuInput())) ++ Seq.fill(params.VlduCnt)(DecoupledIO(new MemExuInput(true)))) 426 val loadFastMatch = Vec(params.LduCnt, Output(UInt(params.LduCnt.W))) 427 val loadFastImm = Vec(params.LduCnt, Output(UInt(12.W))) // Imm_I 428 429 val tlbCsr = Output(new TlbCsrBundle) 430 val csrCtrl = Output(new CustomCSRCtrlIO) 431 val sfence = Output(new SfenceBundle) 432 val isStoreException = Output(Bool()) 433} 434 435class BackendIO(implicit p: Parameters, params: BackendParams) extends XSBundle { 436 val fromTop = new Bundle { 437 val hartId = Input(UInt(8.W)) 438 val externalInterrupt = new ExternalInterruptIO 439 } 440 441 val toTop = new Bundle { 442 val cpuHalted = Output(Bool()) 443 } 444 445 val fenceio = new FenceIO 446 // Todo: merge these bundles into BackendFrontendIO 447 val frontend = Flipped(new FrontendToCtrlIO) 448 val frontendSfence = Output(new SfenceBundle) 449 val frontendCsrCtrl = Output(new CustomCSRCtrlIO) 450 val frontendTlbCsr = Output(new TlbCsrBundle) 451 // distributed csr write 452 val frontendCsrDistributedUpdate = Flipped(new DistributedCSRUpdateReq) 453 454 val mem = new BackendMemIO 455 456 val perf = Input(new PerfCounterIO) 457 458 val tlb = Output(new TlbCsrBundle) 459 460 val csrCustomCtrl = Output(new CustomCSRCtrlIO) 461} 462